X-Ray SPARTAN7 Database: BRAM_R Segment

0 1 2 3 4 25 26 27
319 LH   SS6   SS6 BA7    
318 LH LH SS6 SS6 SW6 IM47    
317 LH LH SW6 SW6 SW6 IM39    
316   LH   SW6 SS6 IM31    
315 LH   SE6   SE6 IM23    
314 LH LH SE6 SE6 EE4 IM15    
313 LH LH EE4 EE4 EE4 IM7    
312   LH   EE4 SE6 FA3    
311 LH   NN6   NN6 BA6    
310 LV LH NN6 NN6 NE6 IM46    
309 LV LV NE6 NE6 NE6 IM38    
308   LV   NE6 NN6 IM30    
307 LV   NW6   NW6 IM22    
306 LV LV NW6 NW6 WW4 IM14    
305 LV LV WW4 WW4 WW4 IM6    
304   LV   WW4 NW6 FA1    
303 LV   SS6   SS6 BA3    
302 LV LV SS6 SS6 SW6 IM45    
301 LV LV SW6 SW6 SW6 IM37    
300   LV   SW6 SS6 IM29    
299 LV   SE6   SE6 IM21    
298 CTRL LV SE6 SE6 EE4 IM13    
297 CTRL CTRL EE4 EE4 EE4 IM5    
296   CTRL   EE4 SE6 FA5    
295 CTRL   NN6   NN6 BA2    
294 CTRL CTRL NN6 NN6 NE6 IM44    
293 CTRL CTRL NE6 NE6 NE6 IM36    
292   CTRL   NE6 NN6 IM28    
291 CTRL   NW6   NW6 IM20    
290 CTRL CTRL NW6 NW6 WW4 IM12    
289 CTRL CTRL WW4 WW4 WW4 IM4    
288   CTRL   WW4 NW6 FA7    
287     SS6   SS6 BA5    
286 CLK   SS6 SS6 SW6 IM43    
285 CLK CLK SW6 SW6 SW6 IM35    
284   CLK   SW6 SS6 IM27    
283 CLK   SE6   SE6 IM19    
282 CLK CLK SE6 SE6 EE4 IM11    
281 CLK CLK EE4 EE4 EE4 IM3    
280   CLK   EE4 SE6 FA6    
279 CLK   NN6   NN6 BA4    
278 CLK CLK NN6 NN6 NE6 IM42    
277 CLK CLK NE6 NE6 NE6 IM34    
276   CLK   NE6 NN6 IM26    
275 GFAN   NW6   NW6 IM18    
274 GFAN GFAN NW6 NW6 WW4 IM10    
273 GFAN GFAN WW4 WW4 WW4 IM2    
272   GFAN   WW4 NW6 FA2    
271 GFAN   SS6   SS6 BA1    
270 GFAN GFAN SS6 SS6 SW6 IM41    
269 GFAN GFAN SW6 SW6 SW6 IM33    
268   GFAN   SW6 SS6 IM25    
267 GFAN   SE6   SE6 IM17    
266 GFAN GFAN SE6 SE6 EE4 IM9    
265 LV GFAN EE4 EE4 EE4 IM1    
264   LV   EE4 SE6 FA4    
263 LV   NN6   NN6 BA0    
262 LV LV NN6 NN6 NE6 IM40    
261 LV LV NE6 NE6 NE6 IM32    
260   LV   NE6 NN6 IM24    
259 LV   NW6   NW6 IM16    
258 LV LV NW6 NW6 WW4 IM8    
257 LV LV WW4 WW4 WW4 IM0    
256   LV   WW4 NW6 FA0    
255 LH   SS6   SS6 BA7    
254 LH LH SS6 SS6 SW6 IM47    
253 LH LH SW6 SW6 SW6 IM39    
252   LH   SW6 SS6 IM31    
251 LH   SE6   SE6 IM23    
250 LH LH SE6 SE6 EE4 IM15    
249 LH LH EE4 EE4 EE4 IM7    
248   LH   EE4 SE6 FA3    
247 LH   NN6   NN6 BA6    
246 LV LH NN6 NN6 NE6 IM46    
245 LV LV NE6 NE6 NE6 IM38    
244   LV   NE6 NN6 IM30    
243 LV   NW6   NW6 IM22    
242 LV LV NW6 NW6 WW4 IM14    
241 LV LV WW4 WW4 WW4 IM6    
240   LV   WW4 NW6 FA1    
0 1 2 3 4 25 26 27
239 LV   SS6   SS6 BA3    
238 LV LV SS6 SS6 SW6 IM45    
237 LV LV SW6 SW6 SW6 IM37    
236   LV   SW6 SS6 IM29    
235 LV   SE6   SE6 IM21    
234 CTRL LV SE6 SE6 EE4 IM13    
233 CTRL CTRL EE4 EE4 EE4 IM5    
232   CTRL   EE4 SE6 FA5    
231 CTRL   NN6   NN6 BA2    
230 CTRL CTRL NN6 NN6 NE6 IM44    
229 CTRL CTRL NE6 NE6 NE6 IM36    
228   CTRL   NE6 NN6 IM28    
227 CTRL   NW6   NW6 IM20    
226 CTRL CTRL NW6 NW6 WW4 IM12    
225 CTRL CTRL WW4 WW4 WW4 IM4    
224   CTRL   WW4 NW6 FA7    
223     SS6   SS6 BA5    
222 CLK   SS6 SS6 SW6 IM43    
221 CLK CLK SW6 SW6 SW6 IM35    
220   CLK   SW6 SS6 IM27    
219 CLK   SE6   SE6 IM19    
218 CLK CLK SE6 SE6 EE4 IM11    
217 CLK CLK EE4 EE4 EE4 IM3    
216   CLK   EE4 SE6 FA6    
215 CLK   NN6   NN6 BA4    
214 CLK CLK NN6 NN6 NE6 IM42    
213 CLK CLK NE6 NE6 NE6 IM34    
212   CLK   NE6 NN6 IM26    
211 GFAN   NW6   NW6 IM18    
210 GFAN GFAN NW6 NW6 WW4 IM10    
209 GFAN GFAN WW4 WW4 WW4 IM2    
208   GFAN   WW4 NW6 FA2    
207 GFAN   SS6   SS6 BA1    
206 GFAN GFAN SS6 SS6 SW6 IM41    
205 GFAN GFAN SW6 SW6 SW6 IM33    
204   GFAN   SW6 SS6 IM25    
203 GFAN   SE6   SE6 IM17    
202 GFAN GFAN SE6 SE6 EE4 IM9    
201 LV GFAN EE4 EE4 EE4 IM1    
200   LV   EE4 SE6 FA4    
199 LV   NN6   NN6 BA0    
198 LV LV NN6 NN6 NE6 IM40    
197 LV LV NE6 NE6 NE6 IM32    
196   LV   NE6 NN6 IM24    
195 LV   NW6   NW6 IM16    
194 LV LV NW6 NW6 WW4 IM8    
193 LV LV WW4 WW4 WW4 IM0    
192   LV   WW4 NW6 FA0    
191 LH   SS6   SS6 BA7    
190 LH LH SS6 SS6 SW6 IM47    
189 LH LH SW6 SW6 SW6 IM39    
188   LH   SW6 SS6 IM31    
187 LH   SE6   SE6 IM23    
186 LH LH SE6 SE6 EE4 IM15    
185 LH LH EE4 EE4 EE4 IM7    
184   LH   EE4 SE6 FA3    
183 LH   NN6   NN6 BA6    
182 LV LH NN6 NN6 NE6 IM46    
181 LV LV NE6 NE6 NE6 IM38    
180   LV   NE6 NN6 IM30    
179 LV   NW6   NW6 IM22    
178 LV LV NW6 NW6 WW4 IM14    
177 LV LV WW4 WW4 WW4 IM6    
176   LV   WW4 NW6 FA1    
175 LV   SS6   SS6 BA3    
174 LV LV SS6 SS6 SW6 IM45    
173 LV LV SW6 SW6 SW6 IM37    
172   LV   SW6 SS6 IM29    
171 LV   SE6   SE6 IM21    
170 CTRL LV SE6 SE6 EE4 IM13    
169 CTRL CTRL EE4 EE4 EE4 IM5    
168   CTRL   EE4 SE6 FA5    
167 CTRL   NN6   NN6 BA2    
166 CTRL CTRL NN6 NN6 NE6 IM44    
165 CTRL CTRL NE6 NE6 NE6 IM36    
164   CTRL   NE6 NN6 IM28    
163 CTRL   NW6   NW6 IM20    
162 CTRL CTRL NW6 NW6 WW4 IM12    
161 CTRL CTRL WW4 WW4 WW4 IM4    
160   CTRL   WW4 NW6 FA7    
0 1 2 3 4 25 26 27
159     SS6   SS6 BA5    
158 CLK   SS6 SS6 SW6 IM43    
157 CLK CLK SW6 SW6 SW6 IM35    
156   CLK   SW6 SS6 IM27    
155 CLK   SE6   SE6 IM19    
154 CLK CLK SE6 SE6 EE4 IM11    
153 CLK CLK EE4 EE4 EE4 IM3    
152   CLK   EE4 SE6 FA6    
151 CLK   NN6   NN6 BA4    
150 CLK CLK NN6 NN6 NE6 IM42    
149 CLK CLK NE6 NE6 NE6 IM34    
148   CLK   NE6 NN6 IM26    
147 GFAN   NW6   NW6 IM18    
146 GFAN GFAN NW6 NW6 WW4 IM10    
145 GFAN GFAN WW4 WW4 WW4 IM2    
144   GFAN   WW4 NW6 FA2    
143 GFAN   SS6   SS6 BA1    
142 GFAN GFAN SS6 SS6 SW6 IM41    
141 GFAN GFAN SW6 SW6 SW6 IM33    
140   GFAN   SW6 SS6 IM25    
139 GFAN   SE6   SE6 IM17    
138 GFAN GFAN SE6 SE6 EE4 IM9    
137 LV GFAN EE4 EE4 EE4 IM1    
136   LV   EE4 SE6 FA4    
135 LV   NN6   NN6 BA0    
134 LV LV NN6 NN6 NE6 IM40    
133 LV LV NE6 NE6 NE6 IM32    
132   LV   NE6 NN6 IM24    
131 LV   NW6   NW6 IM16    
130 LV LV NW6 NW6 WW4 IM8    
129 LV LV WW4 WW4 WW4 IM0    
128   LV   WW4 NW6 FA0    
127 LH   SS6   SS6 BA7    
126 LH LH SS6 SS6 SW6 IM47    
125 LH LH SW6 SW6 SW6 IM39    
124   LH   SW6 SS6 IM31    
123 LH   SE6   SE6 IM23    
122 LH LH SE6 SE6 EE4 IM15    
121 LH LH EE4 EE4 EE4 IM7    
120   LH   EE4 SE6 FA3    
119 LH   NN6   NN6 BA6    
118 LV LH NN6 NN6 NE6 IM46    
117 LV LV NE6 NE6 NE6 IM38    
116   LV   NE6 NN6 IM30    
115 LV   NW6   NW6 IM22    
114 LV LV NW6 NW6 WW4 IM14    
113 LV LV WW4 WW4 WW4 IM6    
112   LV   WW4 NW6 FA1    
111 LV   SS6   SS6 BA3    
110 LV LV SS6 SS6 SW6 IM45    
109 LV LV SW6 SW6 SW6 IM37    
108   LV   SW6 SS6 IM29    
107 LV   SE6   SE6 IM21    
106 CTRL LV SE6 SE6 EE4 IM13    
105 CTRL CTRL EE4 EE4 EE4 IM5    
104   CTRL   EE4 SE6 FA5    
103 CTRL   NN6   NN6 BA2    
102 CTRL CTRL NN6 NN6 NE6 IM44    
101 CTRL CTRL NE6 NE6 NE6 IM36    
100   CTRL   NE6 NN6 IM28    
99 CTRL   NW6   NW6 IM20    
98 CTRL CTRL NW6 NW6 WW4 IM12    
97 CTRL CTRL WW4 WW4 WW4 IM4    
96   CTRL   WW4 NW6 FA7    
95     SS6   SS6 BA5    
94 CLK   SS6 SS6 SW6 IM43    
93 CLK CLK SW6 SW6 SW6 IM35    
92   CLK   SW6 SS6 IM27    
91 CLK   SE6   SE6 IM19    
90 CLK CLK SE6 SE6 EE4 IM11    
89 CLK CLK EE4 EE4 EE4 IM3    
88   CLK   EE4 SE6 FA6    
87 CLK   NN6   NN6 BA4    
86 CLK CLK NN6 NN6 NE6 IM42    
85 CLK CLK NE6 NE6 NE6 IM34    
84   CLK   NE6 NN6 IM26    
83 GFAN   NW6   NW6 IM18    
82 GFAN GFAN NW6 NW6 WW4 IM10    
81 GFAN GFAN WW4 WW4 WW4 IM2    
80   GFAN   WW4 NW6 FA2    
0 1 2 3 4 25 26 27
79 GFAN   SS6   SS6 BA1    
78 GFAN GFAN SS6 SS6 SW6 IM41    
77 GFAN GFAN SW6 SW6 SW6 IM33    
76   GFAN   SW6 SS6 IM25    
75 GFAN   SE6   SE6 IM17    
74 GFAN GFAN SE6 SE6 EE4 IM9    
73 LV GFAN EE4 EE4 EE4 IM1    
72   LV   EE4 SE6 FA4    
71 LV   NN6   NN6 BA0    
70 LV LV NN6 NN6 NE6 IM40    
69 LV LV NE6 NE6 NE6 IM32    
68   LV   NE6 NN6 IM24    
67 LV   NW6   NW6 IM16    
66 LV LV NW6 NW6 WW4 IM8    
65 LV LV WW4 WW4 WW4 IM0    
64   LV   WW4 NW6 FA0    
63 LH   SS6   SS6 BA7    
62 LH LH SS6 SS6 SW6 IM47    
61 LH LH SW6 SW6 SW6 IM39    
60   LH   SW6 SS6 IM31    
59 LH   SE6   SE6 IM23    
58 LH LH SE6 SE6 EE4 IM15    
57 LH LH EE4 EE4 EE4 IM7    
56   LH   EE4 SE6 FA3    
55 LH   NN6   NN6 BA6    
54 LV LH NN6 NN6 NE6 IM46    
53 LV LV NE6 NE6 NE6 IM38    
52   LV   NE6 NN6 IM30    
51 LV   NW6   NW6 IM22    
50 LV LV NW6 NW6 WW4 IM14    
49 LV LV WW4 WW4 WW4 IM6    
48   LV   WW4 NW6 FA1    
47 LV   SS6   SS6 BA3    
46 LV LV SS6 SS6 SW6 IM45    
45 LV LV SW6 SW6 SW6 IM37    
44   LV   SW6 SS6 IM29    
43 LV   SE6   SE6 IM21    
42 CTRL LV SE6 SE6 EE4 IM13    
41 CTRL CTRL EE4 EE4 EE4 IM5    
40   CTRL   EE4 SE6 FA5    
39 CTRL   NN6   NN6 BA2    
38 CTRL CTRL NN6 NN6 NE6 IM44    
37 CTRL CTRL NE6 NE6 NE6 IM36    
36   CTRL   NE6 NN6 IM28    
35 CTRL   NW6   NW6 IM20    
34 CTRL CTRL NW6 NW6 WW4 IM12    
33 CTRL CTRL WW4 WW4 WW4 IM4    
32   CTRL   WW4 NW6 FA7    
31     SS6   SS6 BA5    
30 CLK   SS6 SS6 SW6 IM43    
29 CLK CLK SW6 SW6 SW6 IM35    
28   CLK   SW6 SS6 IM27    
27 CLK   SE6   SE6 IM19    
26 CLK CLK SE6 SE6 EE4 IM11    
25 CLK CLK EE4 EE4 EE4 IM3    
24   CLK   EE4 SE6 FA6    
23 CLK   NN6   NN6 BA4    
22 CLK CLK NN6 NN6 NE6 IM42    
21 CLK CLK NE6 NE6 NE6 IM34    
20   CLK   NE6 NN6 IM26    
19 GFAN   NW6   NW6 IM18    
18 GFAN GFAN NW6 NW6 WW4 IM10    
17 GFAN GFAN WW4 WW4 WW4 IM2    
16   GFAN   WW4 NW6 FA2    
15 GFAN   SS6   SS6 BA1    
14 GFAN GFAN SS6 SS6 SW6 IM41    
13 GFAN GFAN SW6 SW6 SW6 IM33    
12   GFAN   SW6 SS6 IM25    
11 GFAN   SE6   SE6 IM17    
10 GFAN GFAN SE6 SE6 EE4 IM9    
9 LV GFAN EE4 EE4 EE4 IM1    
8   LV   EE4 SE6 FA4    
7 LV   NN6   NN6 BA0    
6 LV LV NN6 NN6 NE6 IM40    
5 LV LV NE6 NE6 NE6 IM32    
4   LV   NE6 NN6 IM24    
3 LV   NW6   NW6 IM16    
2 LV LV NW6 NW6 WW4 IM8    
1 LV LV WW4 WW4 WW4 IM0    
0   LV   WW4 NW6 FA0    

BRAM_R

Bit NamePosition
BRAM_R.CASCOUT_ARD_ACTIVE26_170
BRAM_R.CASCOUT_BWR_ACTIVE26_172
BRAM_R.EN_SYN27_171
BRAM_R.FIRST_WORD_FALL_THROUGH27_170
BRAM_R.ZALMOST_EMPTY_OFFSET[0]27_288
BRAM_R.ZALMOST_EMPTY_OFFSET[10]27_308
BRAM_R.ZALMOST_EMPTY_OFFSET[11]27_309
BRAM_R.ZALMOST_EMPTY_OFFSET[12]27_312
BRAM_R.ZALMOST_EMPTY_OFFSET[1]27_291
BRAM_R.ZALMOST_EMPTY_OFFSET[2]27_292
BRAM_R.ZALMOST_EMPTY_OFFSET[3]27_293
BRAM_R.ZALMOST_EMPTY_OFFSET[4]27_296
BRAM_R.ZALMOST_EMPTY_OFFSET[5]27_299
BRAM_R.ZALMOST_EMPTY_OFFSET[6]27_300
BRAM_R.ZALMOST_EMPTY_OFFSET[7]27_301
BRAM_R.ZALMOST_EMPTY_OFFSET[8]27_304
BRAM_R.ZALMOST_EMPTY_OFFSET[9]27_307
BRAM_R.ZALMOST_FULL_OFFSET[0]27_32
BRAM_R.ZALMOST_FULL_OFFSET[10]27_12
BRAM_R.ZALMOST_FULL_OFFSET[11]27_11
BRAM_R.ZALMOST_FULL_OFFSET[12]27_08
BRAM_R.ZALMOST_FULL_OFFSET[1]27_29
BRAM_R.ZALMOST_FULL_OFFSET[2]27_28
BRAM_R.ZALMOST_FULL_OFFSET[3]27_27
BRAM_R.ZALMOST_FULL_OFFSET[4]27_24
BRAM_R.ZALMOST_FULL_OFFSET[5]27_21
BRAM_R.ZALMOST_FULL_OFFSET[6]27_20
BRAM_R.ZALMOST_FULL_OFFSET[7]27_19
BRAM_R.ZALMOST_FULL_OFFSET[8]27_16
BRAM_R.ZALMOST_FULL_OFFSET[9]27_13

BRAM_R.BRAM_ADDRARDADDRL0

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL0.BRAM_CASCINBOT_ADDRARDADDRU0!26_33
BRAM_R.BRAM_ADDRARDADDRL0.BRAM_CASCINBOT_ADDRARDADDRU026_32
BRAM_R.BRAM_ADDRARDADDRL0.BRAM_CASCINBOT_ADDRARDADDRU026_35
BRAM_R.BRAM_ADDRARDADDRL0.BRAM_CASCINTOP_ADDRARDADDRU0!26_35
BRAM_R.BRAM_ADDRARDADDRL0.BRAM_CASCINTOP_ADDRARDADDRU026_32
BRAM_R.BRAM_ADDRARDADDRL0.BRAM_CASCINTOP_ADDRARDADDRU026_33
BRAM_R.BRAM_ADDRARDADDRL0.BRAM_R_IMUX_ADDRARDADDRL0!26_32
BRAM_R.BRAM_ADDRARDADDRL0.BRAM_R_IMUX_ADDRARDADDRL0!26_33
BRAM_R.BRAM_ADDRARDADDRL0.BRAM_R_IMUX_ADDRARDADDRL0!26_35

BRAM_R.BRAM_ADDRARDADDRL1

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL1.BRAM_CASCINBOT_ADDRARDADDRU1!26_49
BRAM_R.BRAM_ADDRARDADDRL1.BRAM_CASCINBOT_ADDRARDADDRU126_48
BRAM_R.BRAM_ADDRARDADDRL1.BRAM_CASCINBOT_ADDRARDADDRU126_51
BRAM_R.BRAM_ADDRARDADDRL1.BRAM_CASCINTOP_ADDRARDADDRU1!26_51
BRAM_R.BRAM_ADDRARDADDRL1.BRAM_CASCINTOP_ADDRARDADDRU126_48
BRAM_R.BRAM_ADDRARDADDRL1.BRAM_CASCINTOP_ADDRARDADDRU126_49
BRAM_R.BRAM_ADDRARDADDRL1.BRAM_R_IMUX_ADDRARDADDRL1!26_48
BRAM_R.BRAM_ADDRARDADDRL1.BRAM_R_IMUX_ADDRARDADDRL1!26_49
BRAM_R.BRAM_ADDRARDADDRL1.BRAM_R_IMUX_ADDRARDADDRL1!26_51

BRAM_R.BRAM_ADDRARDADDRL10

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL10.BRAM_CASCINBOT_ADDRARDADDRU10!26_145
BRAM_R.BRAM_ADDRARDADDRL10.BRAM_CASCINBOT_ADDRARDADDRU1026_144
BRAM_R.BRAM_ADDRARDADDRL10.BRAM_CASCINBOT_ADDRARDADDRU1026_147
BRAM_R.BRAM_ADDRARDADDRL10.BRAM_CASCINTOP_ADDRARDADDRU10!26_147
BRAM_R.BRAM_ADDRARDADDRL10.BRAM_CASCINTOP_ADDRARDADDRU1026_144
BRAM_R.BRAM_ADDRARDADDRL10.BRAM_CASCINTOP_ADDRARDADDRU1026_145
BRAM_R.BRAM_ADDRARDADDRL10.BRAM_R_IMUX_ADDRARDADDRL10!26_144
BRAM_R.BRAM_ADDRARDADDRL10.BRAM_R_IMUX_ADDRARDADDRL10!26_145
BRAM_R.BRAM_ADDRARDADDRL10.BRAM_R_IMUX_ADDRARDADDRL10!26_147

BRAM_R.BRAM_ADDRARDADDRL11

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL11.BRAM_CASCINBOT_ADDRARDADDRU11!26_113
BRAM_R.BRAM_ADDRARDADDRL11.BRAM_CASCINBOT_ADDRARDADDRU1126_112
BRAM_R.BRAM_ADDRARDADDRL11.BRAM_CASCINBOT_ADDRARDADDRU1126_115
BRAM_R.BRAM_ADDRARDADDRL11.BRAM_CASCINTOP_ADDRARDADDRU11!26_115
BRAM_R.BRAM_ADDRARDADDRL11.BRAM_CASCINTOP_ADDRARDADDRU1126_112
BRAM_R.BRAM_ADDRARDADDRL11.BRAM_CASCINTOP_ADDRARDADDRU1126_113
BRAM_R.BRAM_ADDRARDADDRL11.BRAM_R_IMUX_ADDRARDADDRL11!26_112
BRAM_R.BRAM_ADDRARDADDRL11.BRAM_R_IMUX_ADDRARDADDRL11!26_113
BRAM_R.BRAM_ADDRARDADDRL11.BRAM_R_IMUX_ADDRARDADDRL11!26_115

BRAM_R.BRAM_ADDRARDADDRL12

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL12.BRAM_CASCINBOT_ADDRARDADDRU12!26_241
BRAM_R.BRAM_ADDRARDADDRL12.BRAM_CASCINBOT_ADDRARDADDRU1226_240
BRAM_R.BRAM_ADDRARDADDRL12.BRAM_CASCINBOT_ADDRARDADDRU1226_243
BRAM_R.BRAM_ADDRARDADDRL12.BRAM_CASCINTOP_ADDRARDADDRU12!26_243
BRAM_R.BRAM_ADDRARDADDRL12.BRAM_CASCINTOP_ADDRARDADDRU1226_240
BRAM_R.BRAM_ADDRARDADDRL12.BRAM_CASCINTOP_ADDRARDADDRU1226_241
BRAM_R.BRAM_ADDRARDADDRL12.BRAM_R_IMUX_ADDRARDADDRL12!26_240
BRAM_R.BRAM_ADDRARDADDRL12.BRAM_R_IMUX_ADDRARDADDRL12!26_241
BRAM_R.BRAM_ADDRARDADDRL12.BRAM_R_IMUX_ADDRARDADDRL12!26_243

BRAM_R.BRAM_ADDRARDADDRL13

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL13.BRAM_CASCINBOT_ADDRARDADDRU13!26_129
BRAM_R.BRAM_ADDRARDADDRL13.BRAM_CASCINBOT_ADDRARDADDRU1326_128
BRAM_R.BRAM_ADDRARDADDRL13.BRAM_CASCINBOT_ADDRARDADDRU1326_131
BRAM_R.BRAM_ADDRARDADDRL13.BRAM_CASCINTOP_ADDRARDADDRU13!26_131
BRAM_R.BRAM_ADDRARDADDRL13.BRAM_CASCINTOP_ADDRARDADDRU1326_128
BRAM_R.BRAM_ADDRARDADDRL13.BRAM_CASCINTOP_ADDRARDADDRU1326_129
BRAM_R.BRAM_ADDRARDADDRL13.BRAM_R_IMUX_ADDRARDADDRL13!26_128
BRAM_R.BRAM_ADDRARDADDRL13.BRAM_R_IMUX_ADDRARDADDRL13!26_129
BRAM_R.BRAM_ADDRARDADDRL13.BRAM_R_IMUX_ADDRARDADDRL13!26_131

BRAM_R.BRAM_ADDRARDADDRL14

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL14.BRAM_CASCINBOT_ADDRARDADDRU14!26_257
BRAM_R.BRAM_ADDRARDADDRL14.BRAM_CASCINBOT_ADDRARDADDRU1426_256
BRAM_R.BRAM_ADDRARDADDRL14.BRAM_CASCINBOT_ADDRARDADDRU1426_259
BRAM_R.BRAM_ADDRARDADDRL14.BRAM_CASCINTOP_ADDRARDADDRU14!26_259
BRAM_R.BRAM_ADDRARDADDRL14.BRAM_CASCINTOP_ADDRARDADDRU1426_256
BRAM_R.BRAM_ADDRARDADDRL14.BRAM_CASCINTOP_ADDRARDADDRU1426_257
BRAM_R.BRAM_ADDRARDADDRL14.BRAM_R_IMUX_ADDRARDADDRL14!26_256
BRAM_R.BRAM_ADDRARDADDRL14.BRAM_R_IMUX_ADDRARDADDRL14!26_257
BRAM_R.BRAM_ADDRARDADDRL14.BRAM_R_IMUX_ADDRARDADDRL14!26_259

BRAM_R.BRAM_ADDRARDADDRL2

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL2.BRAM_CASCINBOT_ADDRARDADDRU2!26_65
BRAM_R.BRAM_ADDRARDADDRL2.BRAM_CASCINBOT_ADDRARDADDRU226_64
BRAM_R.BRAM_ADDRARDADDRL2.BRAM_CASCINBOT_ADDRARDADDRU226_67
BRAM_R.BRAM_ADDRARDADDRL2.BRAM_CASCINTOP_ADDRARDADDRU2!26_67
BRAM_R.BRAM_ADDRARDADDRL2.BRAM_CASCINTOP_ADDRARDADDRU226_64
BRAM_R.BRAM_ADDRARDADDRL2.BRAM_CASCINTOP_ADDRARDADDRU226_65
BRAM_R.BRAM_ADDRARDADDRL2.BRAM_R_IMUX_ADDRARDADDRL2!26_64
BRAM_R.BRAM_ADDRARDADDRL2.BRAM_R_IMUX_ADDRARDADDRL2!26_65
BRAM_R.BRAM_ADDRARDADDRL2.BRAM_R_IMUX_ADDRARDADDRL2!26_67

BRAM_R.BRAM_ADDRARDADDRL3

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL3.BRAM_CASCINBOT_ADDRARDADDRU3!26_193
BRAM_R.BRAM_ADDRARDADDRL3.BRAM_CASCINBOT_ADDRARDADDRU326_192
BRAM_R.BRAM_ADDRARDADDRL3.BRAM_CASCINBOT_ADDRARDADDRU326_195
BRAM_R.BRAM_ADDRARDADDRL3.BRAM_CASCINTOP_ADDRARDADDRU3!26_195
BRAM_R.BRAM_ADDRARDADDRL3.BRAM_CASCINTOP_ADDRARDADDRU326_192
BRAM_R.BRAM_ADDRARDADDRL3.BRAM_CASCINTOP_ADDRARDADDRU326_193
BRAM_R.BRAM_ADDRARDADDRL3.BRAM_R_IMUX_ADDRARDADDRL3!26_192
BRAM_R.BRAM_ADDRARDADDRL3.BRAM_R_IMUX_ADDRARDADDRL3!26_193
BRAM_R.BRAM_ADDRARDADDRL3.BRAM_R_IMUX_ADDRARDADDRL3!26_195

BRAM_R.BRAM_ADDRARDADDRL4

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL4.BRAM_CASCINBOT_ADDRARDADDRU4!26_97
BRAM_R.BRAM_ADDRARDADDRL4.BRAM_CASCINBOT_ADDRARDADDRU426_96
BRAM_R.BRAM_ADDRARDADDRL4.BRAM_CASCINBOT_ADDRARDADDRU426_99
BRAM_R.BRAM_ADDRARDADDRL4.BRAM_CASCINTOP_ADDRARDADDRU4!26_99
BRAM_R.BRAM_ADDRARDADDRL4.BRAM_CASCINTOP_ADDRARDADDRU426_96
BRAM_R.BRAM_ADDRARDADDRL4.BRAM_CASCINTOP_ADDRARDADDRU426_97
BRAM_R.BRAM_ADDRARDADDRL4.BRAM_R_IMUX_ADDRARDADDRL4!26_96
BRAM_R.BRAM_ADDRARDADDRL4.BRAM_R_IMUX_ADDRARDADDRL4!26_97
BRAM_R.BRAM_ADDRARDADDRL4.BRAM_R_IMUX_ADDRARDADDRL4!26_99

BRAM_R.BRAM_ADDRARDADDRL5

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL5.BRAM_CASCINBOT_ADDRARDADDRU5!26_225
BRAM_R.BRAM_ADDRARDADDRL5.BRAM_CASCINBOT_ADDRARDADDRU526_224
BRAM_R.BRAM_ADDRARDADDRL5.BRAM_CASCINBOT_ADDRARDADDRU526_227
BRAM_R.BRAM_ADDRARDADDRL5.BRAM_CASCINTOP_ADDRARDADDRU5!26_227
BRAM_R.BRAM_ADDRARDADDRL5.BRAM_CASCINTOP_ADDRARDADDRU526_224
BRAM_R.BRAM_ADDRARDADDRL5.BRAM_CASCINTOP_ADDRARDADDRU526_225
BRAM_R.BRAM_ADDRARDADDRL5.BRAM_R_IMUX_ADDRARDADDRL5!26_224
BRAM_R.BRAM_ADDRARDADDRL5.BRAM_R_IMUX_ADDRARDADDRL5!26_225
BRAM_R.BRAM_ADDRARDADDRL5.BRAM_R_IMUX_ADDRARDADDRL5!26_227

BRAM_R.BRAM_ADDRARDADDRL6

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL6.BRAM_CASCINBOT_ADDRARDADDRU6!26_161
BRAM_R.BRAM_ADDRARDADDRL6.BRAM_CASCINBOT_ADDRARDADDRU626_160
BRAM_R.BRAM_ADDRARDADDRL6.BRAM_CASCINBOT_ADDRARDADDRU626_163
BRAM_R.BRAM_ADDRARDADDRL6.BRAM_CASCINTOP_ADDRARDADDRU6!26_163
BRAM_R.BRAM_ADDRARDADDRL6.BRAM_CASCINTOP_ADDRARDADDRU626_160
BRAM_R.BRAM_ADDRARDADDRL6.BRAM_CASCINTOP_ADDRARDADDRU626_161
BRAM_R.BRAM_ADDRARDADDRL6.BRAM_R_IMUX_ADDRARDADDRL6!26_160
BRAM_R.BRAM_ADDRARDADDRL6.BRAM_R_IMUX_ADDRARDADDRL6!26_161
BRAM_R.BRAM_ADDRARDADDRL6.BRAM_R_IMUX_ADDRARDADDRL6!26_163

BRAM_R.BRAM_ADDRARDADDRL7

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL7.BRAM_CASCINBOT_ADDRARDADDRU7!26_177
BRAM_R.BRAM_ADDRARDADDRL7.BRAM_CASCINBOT_ADDRARDADDRU726_176
BRAM_R.BRAM_ADDRARDADDRL7.BRAM_CASCINBOT_ADDRARDADDRU726_179
BRAM_R.BRAM_ADDRARDADDRL7.BRAM_CASCINTOP_ADDRARDADDRU7!26_179
BRAM_R.BRAM_ADDRARDADDRL7.BRAM_CASCINTOP_ADDRARDADDRU726_176
BRAM_R.BRAM_ADDRARDADDRL7.BRAM_CASCINTOP_ADDRARDADDRU726_177
BRAM_R.BRAM_ADDRARDADDRL7.BRAM_R_IMUX_ADDRARDADDRL7!26_176
BRAM_R.BRAM_ADDRARDADDRL7.BRAM_R_IMUX_ADDRARDADDRL7!26_177
BRAM_R.BRAM_ADDRARDADDRL7.BRAM_R_IMUX_ADDRARDADDRL7!26_179

BRAM_R.BRAM_ADDRARDADDRL8

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL8.BRAM_CASCINBOT_ADDRARDADDRU8!26_81
BRAM_R.BRAM_ADDRARDADDRL8.BRAM_CASCINBOT_ADDRARDADDRU826_80
BRAM_R.BRAM_ADDRARDADDRL8.BRAM_CASCINBOT_ADDRARDADDRU826_83
BRAM_R.BRAM_ADDRARDADDRL8.BRAM_CASCINTOP_ADDRARDADDRU8!26_83
BRAM_R.BRAM_ADDRARDADDRL8.BRAM_CASCINTOP_ADDRARDADDRU826_80
BRAM_R.BRAM_ADDRARDADDRL8.BRAM_CASCINTOP_ADDRARDADDRU826_81
BRAM_R.BRAM_ADDRARDADDRL8.BRAM_R_IMUX_ADDRARDADDRL8!26_80
BRAM_R.BRAM_ADDRARDADDRL8.BRAM_R_IMUX_ADDRARDADDRL8!26_81
BRAM_R.BRAM_ADDRARDADDRL8.BRAM_R_IMUX_ADDRARDADDRL8!26_83

BRAM_R.BRAM_ADDRARDADDRL9

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRL9.BRAM_CASCINBOT_ADDRARDADDRU9!26_209
BRAM_R.BRAM_ADDRARDADDRL9.BRAM_CASCINBOT_ADDRARDADDRU926_208
BRAM_R.BRAM_ADDRARDADDRL9.BRAM_CASCINBOT_ADDRARDADDRU926_211
BRAM_R.BRAM_ADDRARDADDRL9.BRAM_CASCINTOP_ADDRARDADDRU9!26_211
BRAM_R.BRAM_ADDRARDADDRL9.BRAM_CASCINTOP_ADDRARDADDRU926_208
BRAM_R.BRAM_ADDRARDADDRL9.BRAM_CASCINTOP_ADDRARDADDRU926_209
BRAM_R.BRAM_ADDRARDADDRL9.BRAM_R_IMUX_ADDRARDADDRL9!26_208
BRAM_R.BRAM_ADDRARDADDRL9.BRAM_R_IMUX_ADDRARDADDRL9!26_209
BRAM_R.BRAM_ADDRARDADDRL9.BRAM_R_IMUX_ADDRARDADDRL9!26_211

BRAM_R.BRAM_ADDRARDADDRU0

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU0.BRAM_CASCINBOT_ADDRARDADDRU0!26_38
BRAM_R.BRAM_ADDRARDADDRU0.BRAM_CASCINBOT_ADDRARDADDRU026_37
BRAM_R.BRAM_ADDRARDADDRU0.BRAM_CASCINBOT_ADDRARDADDRU026_39
BRAM_R.BRAM_ADDRARDADDRU0.BRAM_CASCINTOP_ADDRARDADDRU0!26_37
BRAM_R.BRAM_ADDRARDADDRU0.BRAM_CASCINTOP_ADDRARDADDRU026_38
BRAM_R.BRAM_ADDRARDADDRU0.BRAM_CASCINTOP_ADDRARDADDRU026_39
BRAM_R.BRAM_ADDRARDADDRU0.BRAM_R_IMUX_ADDRARDADDRU0!26_37
BRAM_R.BRAM_ADDRARDADDRU0.BRAM_R_IMUX_ADDRARDADDRU0!26_38
BRAM_R.BRAM_ADDRARDADDRU0.BRAM_R_IMUX_ADDRARDADDRU0!26_39

BRAM_R.BRAM_ADDRARDADDRU1

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU1.BRAM_CASCINBOT_ADDRARDADDRU1!26_54
BRAM_R.BRAM_ADDRARDADDRU1.BRAM_CASCINBOT_ADDRARDADDRU126_53
BRAM_R.BRAM_ADDRARDADDRU1.BRAM_CASCINBOT_ADDRARDADDRU126_55
BRAM_R.BRAM_ADDRARDADDRU1.BRAM_CASCINTOP_ADDRARDADDRU1!26_53
BRAM_R.BRAM_ADDRARDADDRU1.BRAM_CASCINTOP_ADDRARDADDRU126_54
BRAM_R.BRAM_ADDRARDADDRU1.BRAM_CASCINTOP_ADDRARDADDRU126_55
BRAM_R.BRAM_ADDRARDADDRU1.BRAM_R_IMUX_ADDRARDADDRU1!26_53
BRAM_R.BRAM_ADDRARDADDRU1.BRAM_R_IMUX_ADDRARDADDRU1!26_54
BRAM_R.BRAM_ADDRARDADDRU1.BRAM_R_IMUX_ADDRARDADDRU1!26_55

BRAM_R.BRAM_ADDRARDADDRU10

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU10.BRAM_CASCINBOT_ADDRARDADDRU10!26_150
BRAM_R.BRAM_ADDRARDADDRU10.BRAM_CASCINBOT_ADDRARDADDRU1026_149
BRAM_R.BRAM_ADDRARDADDRU10.BRAM_CASCINBOT_ADDRARDADDRU1026_151
BRAM_R.BRAM_ADDRARDADDRU10.BRAM_CASCINTOP_ADDRARDADDRU10!26_149
BRAM_R.BRAM_ADDRARDADDRU10.BRAM_CASCINTOP_ADDRARDADDRU1026_150
BRAM_R.BRAM_ADDRARDADDRU10.BRAM_CASCINTOP_ADDRARDADDRU1026_151
BRAM_R.BRAM_ADDRARDADDRU10.BRAM_R_IMUX_ADDRARDADDRU10!26_149
BRAM_R.BRAM_ADDRARDADDRU10.BRAM_R_IMUX_ADDRARDADDRU10!26_150
BRAM_R.BRAM_ADDRARDADDRU10.BRAM_R_IMUX_ADDRARDADDRU10!26_151

BRAM_R.BRAM_ADDRARDADDRU11

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU11.BRAM_CASCINBOT_ADDRARDADDRU11!26_118
BRAM_R.BRAM_ADDRARDADDRU11.BRAM_CASCINBOT_ADDRARDADDRU1126_117
BRAM_R.BRAM_ADDRARDADDRU11.BRAM_CASCINBOT_ADDRARDADDRU1126_119
BRAM_R.BRAM_ADDRARDADDRU11.BRAM_CASCINTOP_ADDRARDADDRU11!26_117
BRAM_R.BRAM_ADDRARDADDRU11.BRAM_CASCINTOP_ADDRARDADDRU1126_118
BRAM_R.BRAM_ADDRARDADDRU11.BRAM_CASCINTOP_ADDRARDADDRU1126_119
BRAM_R.BRAM_ADDRARDADDRU11.BRAM_R_IMUX_ADDRARDADDRU11!26_117
BRAM_R.BRAM_ADDRARDADDRU11.BRAM_R_IMUX_ADDRARDADDRU11!26_118
BRAM_R.BRAM_ADDRARDADDRU11.BRAM_R_IMUX_ADDRARDADDRU11!26_119

BRAM_R.BRAM_ADDRARDADDRU12

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU12.BRAM_CASCINBOT_ADDRARDADDRU12!26_246
BRAM_R.BRAM_ADDRARDADDRU12.BRAM_CASCINBOT_ADDRARDADDRU1226_245
BRAM_R.BRAM_ADDRARDADDRU12.BRAM_CASCINBOT_ADDRARDADDRU1226_247
BRAM_R.BRAM_ADDRARDADDRU12.BRAM_CASCINTOP_ADDRARDADDRU12!26_245
BRAM_R.BRAM_ADDRARDADDRU12.BRAM_CASCINTOP_ADDRARDADDRU1226_246
BRAM_R.BRAM_ADDRARDADDRU12.BRAM_CASCINTOP_ADDRARDADDRU1226_247
BRAM_R.BRAM_ADDRARDADDRU12.BRAM_R_IMUX_ADDRARDADDRU12!26_245
BRAM_R.BRAM_ADDRARDADDRU12.BRAM_R_IMUX_ADDRARDADDRU12!26_246
BRAM_R.BRAM_ADDRARDADDRU12.BRAM_R_IMUX_ADDRARDADDRU12!26_247

BRAM_R.BRAM_ADDRARDADDRU13

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU13.BRAM_CASCINBOT_ADDRARDADDRU13!26_134
BRAM_R.BRAM_ADDRARDADDRU13.BRAM_CASCINBOT_ADDRARDADDRU1326_133
BRAM_R.BRAM_ADDRARDADDRU13.BRAM_CASCINBOT_ADDRARDADDRU1326_135
BRAM_R.BRAM_ADDRARDADDRU13.BRAM_CASCINTOP_ADDRARDADDRU13!26_133
BRAM_R.BRAM_ADDRARDADDRU13.BRAM_CASCINTOP_ADDRARDADDRU1326_134
BRAM_R.BRAM_ADDRARDADDRU13.BRAM_CASCINTOP_ADDRARDADDRU1326_135
BRAM_R.BRAM_ADDRARDADDRU13.BRAM_R_IMUX_ADDRARDADDRU13!26_133
BRAM_R.BRAM_ADDRARDADDRU13.BRAM_R_IMUX_ADDRARDADDRU13!26_134
BRAM_R.BRAM_ADDRARDADDRU13.BRAM_R_IMUX_ADDRARDADDRU13!26_135

BRAM_R.BRAM_ADDRARDADDRU14

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU14.BRAM_CASCINBOT_ADDRARDADDRU14!26_262
BRAM_R.BRAM_ADDRARDADDRU14.BRAM_CASCINBOT_ADDRARDADDRU1426_261
BRAM_R.BRAM_ADDRARDADDRU14.BRAM_CASCINBOT_ADDRARDADDRU1426_263
BRAM_R.BRAM_ADDRARDADDRU14.BRAM_CASCINTOP_ADDRARDADDRU14!26_261
BRAM_R.BRAM_ADDRARDADDRU14.BRAM_CASCINTOP_ADDRARDADDRU1426_262
BRAM_R.BRAM_ADDRARDADDRU14.BRAM_CASCINTOP_ADDRARDADDRU1426_263
BRAM_R.BRAM_ADDRARDADDRU14.BRAM_R_IMUX_ADDRARDADDRU14!26_261
BRAM_R.BRAM_ADDRARDADDRU14.BRAM_R_IMUX_ADDRARDADDRU14!26_262
BRAM_R.BRAM_ADDRARDADDRU14.BRAM_R_IMUX_ADDRARDADDRU14!26_263

BRAM_R.BRAM_ADDRARDADDRU2

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU2.BRAM_CASCINBOT_ADDRARDADDRU2!26_70
BRAM_R.BRAM_ADDRARDADDRU2.BRAM_CASCINBOT_ADDRARDADDRU226_69
BRAM_R.BRAM_ADDRARDADDRU2.BRAM_CASCINBOT_ADDRARDADDRU226_71
BRAM_R.BRAM_ADDRARDADDRU2.BRAM_CASCINTOP_ADDRARDADDRU2!26_69
BRAM_R.BRAM_ADDRARDADDRU2.BRAM_CASCINTOP_ADDRARDADDRU226_70
BRAM_R.BRAM_ADDRARDADDRU2.BRAM_CASCINTOP_ADDRARDADDRU226_71
BRAM_R.BRAM_ADDRARDADDRU2.BRAM_R_IMUX_ADDRARDADDRU2!26_69
BRAM_R.BRAM_ADDRARDADDRU2.BRAM_R_IMUX_ADDRARDADDRU2!26_70
BRAM_R.BRAM_ADDRARDADDRU2.BRAM_R_IMUX_ADDRARDADDRU2!26_71

BRAM_R.BRAM_ADDRARDADDRU3

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU3.BRAM_CASCINBOT_ADDRARDADDRU3!26_198
BRAM_R.BRAM_ADDRARDADDRU3.BRAM_CASCINBOT_ADDRARDADDRU326_197
BRAM_R.BRAM_ADDRARDADDRU3.BRAM_CASCINBOT_ADDRARDADDRU326_199
BRAM_R.BRAM_ADDRARDADDRU3.BRAM_CASCINTOP_ADDRARDADDRU3!26_197
BRAM_R.BRAM_ADDRARDADDRU3.BRAM_CASCINTOP_ADDRARDADDRU326_198
BRAM_R.BRAM_ADDRARDADDRU3.BRAM_CASCINTOP_ADDRARDADDRU326_199
BRAM_R.BRAM_ADDRARDADDRU3.BRAM_R_IMUX_ADDRARDADDRU3!26_197
BRAM_R.BRAM_ADDRARDADDRU3.BRAM_R_IMUX_ADDRARDADDRU3!26_198
BRAM_R.BRAM_ADDRARDADDRU3.BRAM_R_IMUX_ADDRARDADDRU3!26_199

BRAM_R.BRAM_ADDRARDADDRU4

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU4.BRAM_CASCINBOT_ADDRARDADDRU4!26_102
BRAM_R.BRAM_ADDRARDADDRU4.BRAM_CASCINBOT_ADDRARDADDRU426_101
BRAM_R.BRAM_ADDRARDADDRU4.BRAM_CASCINBOT_ADDRARDADDRU426_103
BRAM_R.BRAM_ADDRARDADDRU4.BRAM_CASCINTOP_ADDRARDADDRU4!26_101
BRAM_R.BRAM_ADDRARDADDRU4.BRAM_CASCINTOP_ADDRARDADDRU426_102
BRAM_R.BRAM_ADDRARDADDRU4.BRAM_CASCINTOP_ADDRARDADDRU426_103
BRAM_R.BRAM_ADDRARDADDRU4.BRAM_R_IMUX_ADDRARDADDRU4!26_101
BRAM_R.BRAM_ADDRARDADDRU4.BRAM_R_IMUX_ADDRARDADDRU4!26_102
BRAM_R.BRAM_ADDRARDADDRU4.BRAM_R_IMUX_ADDRARDADDRU4!26_103

BRAM_R.BRAM_ADDRARDADDRU5

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU5.BRAM_CASCINBOT_ADDRARDADDRU5!26_230
BRAM_R.BRAM_ADDRARDADDRU5.BRAM_CASCINBOT_ADDRARDADDRU526_229
BRAM_R.BRAM_ADDRARDADDRU5.BRAM_CASCINBOT_ADDRARDADDRU526_231
BRAM_R.BRAM_ADDRARDADDRU5.BRAM_CASCINTOP_ADDRARDADDRU5!26_229
BRAM_R.BRAM_ADDRARDADDRU5.BRAM_CASCINTOP_ADDRARDADDRU526_230
BRAM_R.BRAM_ADDRARDADDRU5.BRAM_CASCINTOP_ADDRARDADDRU526_231
BRAM_R.BRAM_ADDRARDADDRU5.BRAM_R_IMUX_ADDRARDADDRU5!26_229
BRAM_R.BRAM_ADDRARDADDRU5.BRAM_R_IMUX_ADDRARDADDRU5!26_230
BRAM_R.BRAM_ADDRARDADDRU5.BRAM_R_IMUX_ADDRARDADDRU5!26_231

BRAM_R.BRAM_ADDRARDADDRU6

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU6.BRAM_CASCINBOT_ADDRARDADDRU6!26_166
BRAM_R.BRAM_ADDRARDADDRU6.BRAM_CASCINBOT_ADDRARDADDRU626_165
BRAM_R.BRAM_ADDRARDADDRU6.BRAM_CASCINBOT_ADDRARDADDRU626_167
BRAM_R.BRAM_ADDRARDADDRU6.BRAM_CASCINTOP_ADDRARDADDRU6!26_165
BRAM_R.BRAM_ADDRARDADDRU6.BRAM_CASCINTOP_ADDRARDADDRU626_166
BRAM_R.BRAM_ADDRARDADDRU6.BRAM_CASCINTOP_ADDRARDADDRU626_167
BRAM_R.BRAM_ADDRARDADDRU6.BRAM_R_IMUX_ADDRARDADDRU6!26_165
BRAM_R.BRAM_ADDRARDADDRU6.BRAM_R_IMUX_ADDRARDADDRU6!26_166
BRAM_R.BRAM_ADDRARDADDRU6.BRAM_R_IMUX_ADDRARDADDRU6!26_167

BRAM_R.BRAM_ADDRARDADDRU7

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU7.BRAM_CASCINBOT_ADDRARDADDRU7!26_182
BRAM_R.BRAM_ADDRARDADDRU7.BRAM_CASCINBOT_ADDRARDADDRU726_181
BRAM_R.BRAM_ADDRARDADDRU7.BRAM_CASCINBOT_ADDRARDADDRU726_183
BRAM_R.BRAM_ADDRARDADDRU7.BRAM_CASCINTOP_ADDRARDADDRU7!26_181
BRAM_R.BRAM_ADDRARDADDRU7.BRAM_CASCINTOP_ADDRARDADDRU726_182
BRAM_R.BRAM_ADDRARDADDRU7.BRAM_CASCINTOP_ADDRARDADDRU726_183
BRAM_R.BRAM_ADDRARDADDRU7.BRAM_R_IMUX_ADDRARDADDRU7!26_181
BRAM_R.BRAM_ADDRARDADDRU7.BRAM_R_IMUX_ADDRARDADDRU7!26_182
BRAM_R.BRAM_ADDRARDADDRU7.BRAM_R_IMUX_ADDRARDADDRU7!26_183

BRAM_R.BRAM_ADDRARDADDRU8

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU8.BRAM_CASCINBOT_ADDRARDADDRU8!26_86
BRAM_R.BRAM_ADDRARDADDRU8.BRAM_CASCINBOT_ADDRARDADDRU826_85
BRAM_R.BRAM_ADDRARDADDRU8.BRAM_CASCINBOT_ADDRARDADDRU826_87
BRAM_R.BRAM_ADDRARDADDRU8.BRAM_CASCINTOP_ADDRARDADDRU8!26_85
BRAM_R.BRAM_ADDRARDADDRU8.BRAM_CASCINTOP_ADDRARDADDRU826_86
BRAM_R.BRAM_ADDRARDADDRU8.BRAM_CASCINTOP_ADDRARDADDRU826_87
BRAM_R.BRAM_ADDRARDADDRU8.BRAM_R_IMUX_ADDRARDADDRU8!26_85
BRAM_R.BRAM_ADDRARDADDRU8.BRAM_R_IMUX_ADDRARDADDRU8!26_86
BRAM_R.BRAM_ADDRARDADDRU8.BRAM_R_IMUX_ADDRARDADDRU8!26_87

BRAM_R.BRAM_ADDRARDADDRU9

Bit NamePosition
BRAM_R.BRAM_ADDRARDADDRU9.BRAM_CASCINBOT_ADDRARDADDRU9!26_214
BRAM_R.BRAM_ADDRARDADDRU9.BRAM_CASCINBOT_ADDRARDADDRU926_213
BRAM_R.BRAM_ADDRARDADDRU9.BRAM_CASCINBOT_ADDRARDADDRU926_215
BRAM_R.BRAM_ADDRARDADDRU9.BRAM_CASCINTOP_ADDRARDADDRU9!26_213
BRAM_R.BRAM_ADDRARDADDRU9.BRAM_CASCINTOP_ADDRARDADDRU926_214
BRAM_R.BRAM_ADDRARDADDRU9.BRAM_CASCINTOP_ADDRARDADDRU926_215
BRAM_R.BRAM_ADDRARDADDRU9.BRAM_R_IMUX_ADDRARDADDRU9!26_213
BRAM_R.BRAM_ADDRARDADDRU9.BRAM_R_IMUX_ADDRARDADDRU9!26_214
BRAM_R.BRAM_ADDRARDADDRU9.BRAM_R_IMUX_ADDRARDADDRU9!26_215

BRAM_R.BRAM_ADDRBWRADDRL0

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL0.BRAM_CASCINBOT_ADDRBWRADDRU0!26_41
BRAM_R.BRAM_ADDRBWRADDRL0.BRAM_CASCINBOT_ADDRBWRADDRU026_40
BRAM_R.BRAM_ADDRBWRADDRL0.BRAM_CASCINBOT_ADDRBWRADDRU026_43
BRAM_R.BRAM_ADDRBWRADDRL0.BRAM_CASCINTOP_ADDRBWRADDRU0!26_43
BRAM_R.BRAM_ADDRBWRADDRL0.BRAM_CASCINTOP_ADDRBWRADDRU026_40
BRAM_R.BRAM_ADDRBWRADDRL0.BRAM_CASCINTOP_ADDRBWRADDRU026_41
BRAM_R.BRAM_ADDRBWRADDRL0.BRAM_R_IMUX_ADDRBWRADDRL0!26_40
BRAM_R.BRAM_ADDRBWRADDRL0.BRAM_R_IMUX_ADDRBWRADDRL0!26_41
BRAM_R.BRAM_ADDRBWRADDRL0.BRAM_R_IMUX_ADDRBWRADDRL0!26_43

BRAM_R.BRAM_ADDRBWRADDRL1

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL1.BRAM_CASCINBOT_ADDRBWRADDRU1!26_57
BRAM_R.BRAM_ADDRBWRADDRL1.BRAM_CASCINBOT_ADDRBWRADDRU126_56
BRAM_R.BRAM_ADDRBWRADDRL1.BRAM_CASCINBOT_ADDRBWRADDRU126_59
BRAM_R.BRAM_ADDRBWRADDRL1.BRAM_CASCINTOP_ADDRBWRADDRU1!26_59
BRAM_R.BRAM_ADDRBWRADDRL1.BRAM_CASCINTOP_ADDRBWRADDRU126_56
BRAM_R.BRAM_ADDRBWRADDRL1.BRAM_CASCINTOP_ADDRBWRADDRU126_57
BRAM_R.BRAM_ADDRBWRADDRL1.BRAM_R_IMUX_ADDRBWRADDRL1!26_56
BRAM_R.BRAM_ADDRBWRADDRL1.BRAM_R_IMUX_ADDRBWRADDRL1!26_57
BRAM_R.BRAM_ADDRBWRADDRL1.BRAM_R_IMUX_ADDRBWRADDRL1!26_59

BRAM_R.BRAM_ADDRBWRADDRL10

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL10.BRAM_CASCINBOT_ADDRBWRADDRU10!26_153
BRAM_R.BRAM_ADDRBWRADDRL10.BRAM_CASCINBOT_ADDRBWRADDRU1026_152
BRAM_R.BRAM_ADDRBWRADDRL10.BRAM_CASCINBOT_ADDRBWRADDRU1026_155
BRAM_R.BRAM_ADDRBWRADDRL10.BRAM_CASCINTOP_ADDRBWRADDRU10!26_155
BRAM_R.BRAM_ADDRBWRADDRL10.BRAM_CASCINTOP_ADDRBWRADDRU1026_152
BRAM_R.BRAM_ADDRBWRADDRL10.BRAM_CASCINTOP_ADDRBWRADDRU1026_153
BRAM_R.BRAM_ADDRBWRADDRL10.BRAM_R_IMUX_ADDRBWRADDRL10!26_152
BRAM_R.BRAM_ADDRBWRADDRL10.BRAM_R_IMUX_ADDRBWRADDRL10!26_153
BRAM_R.BRAM_ADDRBWRADDRL10.BRAM_R_IMUX_ADDRBWRADDRL10!26_155

BRAM_R.BRAM_ADDRBWRADDRL11

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL11.BRAM_CASCINBOT_ADDRBWRADDRU11!26_121
BRAM_R.BRAM_ADDRBWRADDRL11.BRAM_CASCINBOT_ADDRBWRADDRU1126_120
BRAM_R.BRAM_ADDRBWRADDRL11.BRAM_CASCINBOT_ADDRBWRADDRU1126_123
BRAM_R.BRAM_ADDRBWRADDRL11.BRAM_CASCINTOP_ADDRBWRADDRU11!26_123
BRAM_R.BRAM_ADDRBWRADDRL11.BRAM_CASCINTOP_ADDRBWRADDRU1126_120
BRAM_R.BRAM_ADDRBWRADDRL11.BRAM_CASCINTOP_ADDRBWRADDRU1126_121
BRAM_R.BRAM_ADDRBWRADDRL11.BRAM_R_IMUX_ADDRBWRADDRL11!26_120
BRAM_R.BRAM_ADDRBWRADDRL11.BRAM_R_IMUX_ADDRBWRADDRL11!26_121
BRAM_R.BRAM_ADDRBWRADDRL11.BRAM_R_IMUX_ADDRBWRADDRL11!26_123

BRAM_R.BRAM_ADDRBWRADDRL12

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL12.BRAM_CASCINBOT_ADDRBWRADDRU12!26_249
BRAM_R.BRAM_ADDRBWRADDRL12.BRAM_CASCINBOT_ADDRBWRADDRU1226_248
BRAM_R.BRAM_ADDRBWRADDRL12.BRAM_CASCINBOT_ADDRBWRADDRU1226_251
BRAM_R.BRAM_ADDRBWRADDRL12.BRAM_CASCINTOP_ADDRBWRADDRU12!26_251
BRAM_R.BRAM_ADDRBWRADDRL12.BRAM_CASCINTOP_ADDRBWRADDRU1226_248
BRAM_R.BRAM_ADDRBWRADDRL12.BRAM_CASCINTOP_ADDRBWRADDRU1226_249
BRAM_R.BRAM_ADDRBWRADDRL12.BRAM_R_IMUX_ADDRBWRADDRL12!26_248
BRAM_R.BRAM_ADDRBWRADDRL12.BRAM_R_IMUX_ADDRBWRADDRL12!26_249
BRAM_R.BRAM_ADDRBWRADDRL12.BRAM_R_IMUX_ADDRBWRADDRL12!26_251

BRAM_R.BRAM_ADDRBWRADDRL13

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL13.BRAM_CASCINBOT_ADDRBWRADDRU13!26_137
BRAM_R.BRAM_ADDRBWRADDRL13.BRAM_CASCINBOT_ADDRBWRADDRU1326_136
BRAM_R.BRAM_ADDRBWRADDRL13.BRAM_CASCINBOT_ADDRBWRADDRU1326_139
BRAM_R.BRAM_ADDRBWRADDRL13.BRAM_CASCINTOP_ADDRBWRADDRU13!26_139
BRAM_R.BRAM_ADDRBWRADDRL13.BRAM_CASCINTOP_ADDRBWRADDRU1326_136
BRAM_R.BRAM_ADDRBWRADDRL13.BRAM_CASCINTOP_ADDRBWRADDRU1326_137
BRAM_R.BRAM_ADDRBWRADDRL13.BRAM_R_IMUX_ADDRBWRADDRL13!26_136
BRAM_R.BRAM_ADDRBWRADDRL13.BRAM_R_IMUX_ADDRBWRADDRL13!26_137
BRAM_R.BRAM_ADDRBWRADDRL13.BRAM_R_IMUX_ADDRBWRADDRL13!26_139

BRAM_R.BRAM_ADDRBWRADDRL14

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL14.BRAM_CASCINBOT_ADDRBWRADDRU14!26_265
BRAM_R.BRAM_ADDRBWRADDRL14.BRAM_CASCINBOT_ADDRBWRADDRU1426_264
BRAM_R.BRAM_ADDRBWRADDRL14.BRAM_CASCINBOT_ADDRBWRADDRU1426_267
BRAM_R.BRAM_ADDRBWRADDRL14.BRAM_CASCINTOP_ADDRBWRADDRU14!26_267
BRAM_R.BRAM_ADDRBWRADDRL14.BRAM_CASCINTOP_ADDRBWRADDRU1426_264
BRAM_R.BRAM_ADDRBWRADDRL14.BRAM_CASCINTOP_ADDRBWRADDRU1426_265
BRAM_R.BRAM_ADDRBWRADDRL14.BRAM_R_IMUX_ADDRBWRADDRL14!26_264
BRAM_R.BRAM_ADDRBWRADDRL14.BRAM_R_IMUX_ADDRBWRADDRL14!26_265
BRAM_R.BRAM_ADDRBWRADDRL14.BRAM_R_IMUX_ADDRBWRADDRL14!26_267

BRAM_R.BRAM_ADDRBWRADDRL2

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL2.BRAM_CASCINBOT_ADDRBWRADDRU2!26_73
BRAM_R.BRAM_ADDRBWRADDRL2.BRAM_CASCINBOT_ADDRBWRADDRU226_72
BRAM_R.BRAM_ADDRBWRADDRL2.BRAM_CASCINBOT_ADDRBWRADDRU226_75
BRAM_R.BRAM_ADDRBWRADDRL2.BRAM_CASCINTOP_ADDRBWRADDRU2!26_75
BRAM_R.BRAM_ADDRBWRADDRL2.BRAM_CASCINTOP_ADDRBWRADDRU226_72
BRAM_R.BRAM_ADDRBWRADDRL2.BRAM_CASCINTOP_ADDRBWRADDRU226_73
BRAM_R.BRAM_ADDRBWRADDRL2.BRAM_R_IMUX_ADDRBWRADDRL2!26_72
BRAM_R.BRAM_ADDRBWRADDRL2.BRAM_R_IMUX_ADDRBWRADDRL2!26_73
BRAM_R.BRAM_ADDRBWRADDRL2.BRAM_R_IMUX_ADDRBWRADDRL2!26_75

BRAM_R.BRAM_ADDRBWRADDRL3

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL3.BRAM_CASCINBOT_ADDRBWRADDRU3!26_201
BRAM_R.BRAM_ADDRBWRADDRL3.BRAM_CASCINBOT_ADDRBWRADDRU326_200
BRAM_R.BRAM_ADDRBWRADDRL3.BRAM_CASCINBOT_ADDRBWRADDRU326_203
BRAM_R.BRAM_ADDRBWRADDRL3.BRAM_CASCINTOP_ADDRBWRADDRU3!26_203
BRAM_R.BRAM_ADDRBWRADDRL3.BRAM_CASCINTOP_ADDRBWRADDRU326_200
BRAM_R.BRAM_ADDRBWRADDRL3.BRAM_CASCINTOP_ADDRBWRADDRU326_201
BRAM_R.BRAM_ADDRBWRADDRL3.BRAM_R_IMUX_ADDRBWRADDRL3!26_200
BRAM_R.BRAM_ADDRBWRADDRL3.BRAM_R_IMUX_ADDRBWRADDRL3!26_201
BRAM_R.BRAM_ADDRBWRADDRL3.BRAM_R_IMUX_ADDRBWRADDRL3!26_203

BRAM_R.BRAM_ADDRBWRADDRL4

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL4.BRAM_CASCINBOT_ADDRBWRADDRU4!26_105
BRAM_R.BRAM_ADDRBWRADDRL4.BRAM_CASCINBOT_ADDRBWRADDRU426_104
BRAM_R.BRAM_ADDRBWRADDRL4.BRAM_CASCINBOT_ADDRBWRADDRU426_107
BRAM_R.BRAM_ADDRBWRADDRL4.BRAM_CASCINTOP_ADDRBWRADDRU4!26_107
BRAM_R.BRAM_ADDRBWRADDRL4.BRAM_CASCINTOP_ADDRBWRADDRU426_104
BRAM_R.BRAM_ADDRBWRADDRL4.BRAM_CASCINTOP_ADDRBWRADDRU426_105
BRAM_R.BRAM_ADDRBWRADDRL4.BRAM_R_IMUX_ADDRBWRADDRL4!26_104
BRAM_R.BRAM_ADDRBWRADDRL4.BRAM_R_IMUX_ADDRBWRADDRL4!26_105
BRAM_R.BRAM_ADDRBWRADDRL4.BRAM_R_IMUX_ADDRBWRADDRL4!26_107

BRAM_R.BRAM_ADDRBWRADDRL5

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL5.BRAM_CASCINBOT_ADDRBWRADDRU5!26_233
BRAM_R.BRAM_ADDRBWRADDRL5.BRAM_CASCINBOT_ADDRBWRADDRU526_232
BRAM_R.BRAM_ADDRBWRADDRL5.BRAM_CASCINBOT_ADDRBWRADDRU526_235
BRAM_R.BRAM_ADDRBWRADDRL5.BRAM_CASCINTOP_ADDRBWRADDRU5!26_235
BRAM_R.BRAM_ADDRBWRADDRL5.BRAM_CASCINTOP_ADDRBWRADDRU526_232
BRAM_R.BRAM_ADDRBWRADDRL5.BRAM_CASCINTOP_ADDRBWRADDRU526_233
BRAM_R.BRAM_ADDRBWRADDRL5.BRAM_R_IMUX_ADDRBWRADDRL5!26_232
BRAM_R.BRAM_ADDRBWRADDRL5.BRAM_R_IMUX_ADDRBWRADDRL5!26_233
BRAM_R.BRAM_ADDRBWRADDRL5.BRAM_R_IMUX_ADDRBWRADDRL5!26_235

BRAM_R.BRAM_ADDRBWRADDRL6

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL6.BRAM_CASCINBOT_ADDRBWRADDRU6!26_169
BRAM_R.BRAM_ADDRBWRADDRL6.BRAM_CASCINBOT_ADDRBWRADDRU626_168
BRAM_R.BRAM_ADDRBWRADDRL6.BRAM_CASCINBOT_ADDRBWRADDRU626_171
BRAM_R.BRAM_ADDRBWRADDRL6.BRAM_CASCINTOP_ADDRBWRADDRU6!26_171
BRAM_R.BRAM_ADDRBWRADDRL6.BRAM_CASCINTOP_ADDRBWRADDRU626_168
BRAM_R.BRAM_ADDRBWRADDRL6.BRAM_CASCINTOP_ADDRBWRADDRU626_169
BRAM_R.BRAM_ADDRBWRADDRL6.BRAM_R_IMUX_ADDRBWRADDRL6!26_168
BRAM_R.BRAM_ADDRBWRADDRL6.BRAM_R_IMUX_ADDRBWRADDRL6!26_169
BRAM_R.BRAM_ADDRBWRADDRL6.BRAM_R_IMUX_ADDRBWRADDRL6!26_171

BRAM_R.BRAM_ADDRBWRADDRL7

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL7.BRAM_CASCINBOT_ADDRBWRADDRU7!26_185
BRAM_R.BRAM_ADDRBWRADDRL7.BRAM_CASCINBOT_ADDRBWRADDRU726_184
BRAM_R.BRAM_ADDRBWRADDRL7.BRAM_CASCINBOT_ADDRBWRADDRU726_187
BRAM_R.BRAM_ADDRBWRADDRL7.BRAM_CASCINTOP_ADDRBWRADDRU7!26_187
BRAM_R.BRAM_ADDRBWRADDRL7.BRAM_CASCINTOP_ADDRBWRADDRU726_184
BRAM_R.BRAM_ADDRBWRADDRL7.BRAM_CASCINTOP_ADDRBWRADDRU726_185
BRAM_R.BRAM_ADDRBWRADDRL7.BRAM_R_IMUX_ADDRBWRADDRL7!26_184
BRAM_R.BRAM_ADDRBWRADDRL7.BRAM_R_IMUX_ADDRBWRADDRL7!26_185
BRAM_R.BRAM_ADDRBWRADDRL7.BRAM_R_IMUX_ADDRBWRADDRL7!26_187

BRAM_R.BRAM_ADDRBWRADDRL8

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL8.BRAM_CASCINBOT_ADDRBWRADDRU8!26_89
BRAM_R.BRAM_ADDRBWRADDRL8.BRAM_CASCINBOT_ADDRBWRADDRU826_88
BRAM_R.BRAM_ADDRBWRADDRL8.BRAM_CASCINBOT_ADDRBWRADDRU826_91
BRAM_R.BRAM_ADDRBWRADDRL8.BRAM_CASCINTOP_ADDRBWRADDRU8!26_91
BRAM_R.BRAM_ADDRBWRADDRL8.BRAM_CASCINTOP_ADDRBWRADDRU826_88
BRAM_R.BRAM_ADDRBWRADDRL8.BRAM_CASCINTOP_ADDRBWRADDRU826_89
BRAM_R.BRAM_ADDRBWRADDRL8.BRAM_R_IMUX_ADDRBWRADDRL8!26_88
BRAM_R.BRAM_ADDRBWRADDRL8.BRAM_R_IMUX_ADDRBWRADDRL8!26_89
BRAM_R.BRAM_ADDRBWRADDRL8.BRAM_R_IMUX_ADDRBWRADDRL8!26_91

BRAM_R.BRAM_ADDRBWRADDRL9

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRL9.BRAM_CASCINBOT_ADDRBWRADDRU9!26_217
BRAM_R.BRAM_ADDRBWRADDRL9.BRAM_CASCINBOT_ADDRBWRADDRU926_216
BRAM_R.BRAM_ADDRBWRADDRL9.BRAM_CASCINBOT_ADDRBWRADDRU926_219
BRAM_R.BRAM_ADDRBWRADDRL9.BRAM_CASCINTOP_ADDRBWRADDRU9!26_219
BRAM_R.BRAM_ADDRBWRADDRL9.BRAM_CASCINTOP_ADDRBWRADDRU926_216
BRAM_R.BRAM_ADDRBWRADDRL9.BRAM_CASCINTOP_ADDRBWRADDRU926_217
BRAM_R.BRAM_ADDRBWRADDRL9.BRAM_R_IMUX_ADDRBWRADDRL9!26_216
BRAM_R.BRAM_ADDRBWRADDRL9.BRAM_R_IMUX_ADDRBWRADDRL9!26_217
BRAM_R.BRAM_ADDRBWRADDRL9.BRAM_R_IMUX_ADDRBWRADDRL9!26_219

BRAM_R.BRAM_ADDRBWRADDRU0

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU0.BRAM_CASCINBOT_ADDRBWRADDRU0!26_46
BRAM_R.BRAM_ADDRBWRADDRU0.BRAM_CASCINBOT_ADDRBWRADDRU026_45
BRAM_R.BRAM_ADDRBWRADDRU0.BRAM_CASCINBOT_ADDRBWRADDRU026_47
BRAM_R.BRAM_ADDRBWRADDRU0.BRAM_CASCINTOP_ADDRBWRADDRU0!26_45
BRAM_R.BRAM_ADDRBWRADDRU0.BRAM_CASCINTOP_ADDRBWRADDRU026_46
BRAM_R.BRAM_ADDRBWRADDRU0.BRAM_CASCINTOP_ADDRBWRADDRU026_47
BRAM_R.BRAM_ADDRBWRADDRU0.BRAM_R_IMUX_ADDRBWRADDRU0!26_45
BRAM_R.BRAM_ADDRBWRADDRU0.BRAM_R_IMUX_ADDRBWRADDRU0!26_46
BRAM_R.BRAM_ADDRBWRADDRU0.BRAM_R_IMUX_ADDRBWRADDRU0!26_47

BRAM_R.BRAM_ADDRBWRADDRU1

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU1.BRAM_CASCINBOT_ADDRBWRADDRU1!26_62
BRAM_R.BRAM_ADDRBWRADDRU1.BRAM_CASCINBOT_ADDRBWRADDRU126_61
BRAM_R.BRAM_ADDRBWRADDRU1.BRAM_CASCINBOT_ADDRBWRADDRU126_63
BRAM_R.BRAM_ADDRBWRADDRU1.BRAM_CASCINTOP_ADDRBWRADDRU1!26_61
BRAM_R.BRAM_ADDRBWRADDRU1.BRAM_CASCINTOP_ADDRBWRADDRU126_62
BRAM_R.BRAM_ADDRBWRADDRU1.BRAM_CASCINTOP_ADDRBWRADDRU126_63
BRAM_R.BRAM_ADDRBWRADDRU1.BRAM_R_IMUX_ADDRBWRADDRU1!26_61
BRAM_R.BRAM_ADDRBWRADDRU1.BRAM_R_IMUX_ADDRBWRADDRU1!26_62
BRAM_R.BRAM_ADDRBWRADDRU1.BRAM_R_IMUX_ADDRBWRADDRU1!26_63

BRAM_R.BRAM_ADDRBWRADDRU10

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU10.BRAM_CASCINBOT_ADDRBWRADDRU10!26_158
BRAM_R.BRAM_ADDRBWRADDRU10.BRAM_CASCINBOT_ADDRBWRADDRU1026_157
BRAM_R.BRAM_ADDRBWRADDRU10.BRAM_CASCINBOT_ADDRBWRADDRU1026_159
BRAM_R.BRAM_ADDRBWRADDRU10.BRAM_CASCINTOP_ADDRBWRADDRU10!26_157
BRAM_R.BRAM_ADDRBWRADDRU10.BRAM_CASCINTOP_ADDRBWRADDRU1026_158
BRAM_R.BRAM_ADDRBWRADDRU10.BRAM_CASCINTOP_ADDRBWRADDRU1026_159
BRAM_R.BRAM_ADDRBWRADDRU10.BRAM_R_IMUX_ADDRBWRADDRU10!26_157
BRAM_R.BRAM_ADDRBWRADDRU10.BRAM_R_IMUX_ADDRBWRADDRU10!26_158
BRAM_R.BRAM_ADDRBWRADDRU10.BRAM_R_IMUX_ADDRBWRADDRU10!26_159

BRAM_R.BRAM_ADDRBWRADDRU11

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU11.BRAM_CASCINBOT_ADDRBWRADDRU11!26_126
BRAM_R.BRAM_ADDRBWRADDRU11.BRAM_CASCINBOT_ADDRBWRADDRU1126_125
BRAM_R.BRAM_ADDRBWRADDRU11.BRAM_CASCINBOT_ADDRBWRADDRU1126_127
BRAM_R.BRAM_ADDRBWRADDRU11.BRAM_CASCINTOP_ADDRBWRADDRU11!26_125
BRAM_R.BRAM_ADDRBWRADDRU11.BRAM_CASCINTOP_ADDRBWRADDRU1126_126
BRAM_R.BRAM_ADDRBWRADDRU11.BRAM_CASCINTOP_ADDRBWRADDRU1126_127
BRAM_R.BRAM_ADDRBWRADDRU11.BRAM_R_IMUX_ADDRBWRADDRU11!26_125
BRAM_R.BRAM_ADDRBWRADDRU11.BRAM_R_IMUX_ADDRBWRADDRU11!26_126
BRAM_R.BRAM_ADDRBWRADDRU11.BRAM_R_IMUX_ADDRBWRADDRU11!26_127

BRAM_R.BRAM_ADDRBWRADDRU12

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU12.BRAM_CASCINBOT_ADDRBWRADDRU12!26_254
BRAM_R.BRAM_ADDRBWRADDRU12.BRAM_CASCINBOT_ADDRBWRADDRU1226_253
BRAM_R.BRAM_ADDRBWRADDRU12.BRAM_CASCINBOT_ADDRBWRADDRU1226_255
BRAM_R.BRAM_ADDRBWRADDRU12.BRAM_CASCINTOP_ADDRBWRADDRU12!26_253
BRAM_R.BRAM_ADDRBWRADDRU12.BRAM_CASCINTOP_ADDRBWRADDRU1226_254
BRAM_R.BRAM_ADDRBWRADDRU12.BRAM_CASCINTOP_ADDRBWRADDRU1226_255
BRAM_R.BRAM_ADDRBWRADDRU12.BRAM_R_IMUX_ADDRBWRADDRU12!26_253
BRAM_R.BRAM_ADDRBWRADDRU12.BRAM_R_IMUX_ADDRBWRADDRU12!26_254
BRAM_R.BRAM_ADDRBWRADDRU12.BRAM_R_IMUX_ADDRBWRADDRU12!26_255

BRAM_R.BRAM_ADDRBWRADDRU13

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU13.BRAM_CASCINBOT_ADDRBWRADDRU13!26_142
BRAM_R.BRAM_ADDRBWRADDRU13.BRAM_CASCINBOT_ADDRBWRADDRU1326_141
BRAM_R.BRAM_ADDRBWRADDRU13.BRAM_CASCINBOT_ADDRBWRADDRU1326_143
BRAM_R.BRAM_ADDRBWRADDRU13.BRAM_CASCINTOP_ADDRBWRADDRU13!26_141
BRAM_R.BRAM_ADDRBWRADDRU13.BRAM_CASCINTOP_ADDRBWRADDRU1326_142
BRAM_R.BRAM_ADDRBWRADDRU13.BRAM_CASCINTOP_ADDRBWRADDRU1326_143
BRAM_R.BRAM_ADDRBWRADDRU13.BRAM_R_IMUX_ADDRBWRADDRU13!26_141
BRAM_R.BRAM_ADDRBWRADDRU13.BRAM_R_IMUX_ADDRBWRADDRU13!26_142
BRAM_R.BRAM_ADDRBWRADDRU13.BRAM_R_IMUX_ADDRBWRADDRU13!26_143

BRAM_R.BRAM_ADDRBWRADDRU14

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU14.BRAM_CASCINBOT_ADDRBWRADDRU14!26_270
BRAM_R.BRAM_ADDRBWRADDRU14.BRAM_CASCINBOT_ADDRBWRADDRU1426_269
BRAM_R.BRAM_ADDRBWRADDRU14.BRAM_CASCINBOT_ADDRBWRADDRU1426_271
BRAM_R.BRAM_ADDRBWRADDRU14.BRAM_CASCINTOP_ADDRBWRADDRU14!26_269
BRAM_R.BRAM_ADDRBWRADDRU14.BRAM_CASCINTOP_ADDRBWRADDRU1426_270
BRAM_R.BRAM_ADDRBWRADDRU14.BRAM_CASCINTOP_ADDRBWRADDRU1426_271
BRAM_R.BRAM_ADDRBWRADDRU14.BRAM_R_IMUX_ADDRBWRADDRU14!26_269
BRAM_R.BRAM_ADDRBWRADDRU14.BRAM_R_IMUX_ADDRBWRADDRU14!26_270
BRAM_R.BRAM_ADDRBWRADDRU14.BRAM_R_IMUX_ADDRBWRADDRU14!26_271

BRAM_R.BRAM_ADDRBWRADDRU2

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU2.BRAM_CASCINBOT_ADDRBWRADDRU2!26_78
BRAM_R.BRAM_ADDRBWRADDRU2.BRAM_CASCINBOT_ADDRBWRADDRU226_77
BRAM_R.BRAM_ADDRBWRADDRU2.BRAM_CASCINBOT_ADDRBWRADDRU226_79
BRAM_R.BRAM_ADDRBWRADDRU2.BRAM_CASCINTOP_ADDRBWRADDRU2!26_77
BRAM_R.BRAM_ADDRBWRADDRU2.BRAM_CASCINTOP_ADDRBWRADDRU226_78
BRAM_R.BRAM_ADDRBWRADDRU2.BRAM_CASCINTOP_ADDRBWRADDRU226_79
BRAM_R.BRAM_ADDRBWRADDRU2.BRAM_R_IMUX_ADDRBWRADDRU2!26_77
BRAM_R.BRAM_ADDRBWRADDRU2.BRAM_R_IMUX_ADDRBWRADDRU2!26_78
BRAM_R.BRAM_ADDRBWRADDRU2.BRAM_R_IMUX_ADDRBWRADDRU2!26_79

BRAM_R.BRAM_ADDRBWRADDRU3

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU3.BRAM_CASCINBOT_ADDRBWRADDRU3!26_206
BRAM_R.BRAM_ADDRBWRADDRU3.BRAM_CASCINBOT_ADDRBWRADDRU326_205
BRAM_R.BRAM_ADDRBWRADDRU3.BRAM_CASCINBOT_ADDRBWRADDRU326_207
BRAM_R.BRAM_ADDRBWRADDRU3.BRAM_CASCINTOP_ADDRBWRADDRU3!26_205
BRAM_R.BRAM_ADDRBWRADDRU3.BRAM_CASCINTOP_ADDRBWRADDRU326_206
BRAM_R.BRAM_ADDRBWRADDRU3.BRAM_CASCINTOP_ADDRBWRADDRU326_207
BRAM_R.BRAM_ADDRBWRADDRU3.BRAM_R_IMUX_ADDRBWRADDRU3!26_205
BRAM_R.BRAM_ADDRBWRADDRU3.BRAM_R_IMUX_ADDRBWRADDRU3!26_206
BRAM_R.BRAM_ADDRBWRADDRU3.BRAM_R_IMUX_ADDRBWRADDRU3!26_207

BRAM_R.BRAM_ADDRBWRADDRU4

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU4.BRAM_CASCINBOT_ADDRBWRADDRU4!26_110
BRAM_R.BRAM_ADDRBWRADDRU4.BRAM_CASCINBOT_ADDRBWRADDRU426_109
BRAM_R.BRAM_ADDRBWRADDRU4.BRAM_CASCINBOT_ADDRBWRADDRU426_111
BRAM_R.BRAM_ADDRBWRADDRU4.BRAM_CASCINTOP_ADDRBWRADDRU4!26_109
BRAM_R.BRAM_ADDRBWRADDRU4.BRAM_CASCINTOP_ADDRBWRADDRU426_110
BRAM_R.BRAM_ADDRBWRADDRU4.BRAM_CASCINTOP_ADDRBWRADDRU426_111
BRAM_R.BRAM_ADDRBWRADDRU4.BRAM_R_IMUX_ADDRBWRADDRU4!26_109
BRAM_R.BRAM_ADDRBWRADDRU4.BRAM_R_IMUX_ADDRBWRADDRU4!26_110
BRAM_R.BRAM_ADDRBWRADDRU4.BRAM_R_IMUX_ADDRBWRADDRU4!26_111

BRAM_R.BRAM_ADDRBWRADDRU5

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU5.BRAM_CASCINBOT_ADDRBWRADDRU5!26_238
BRAM_R.BRAM_ADDRBWRADDRU5.BRAM_CASCINBOT_ADDRBWRADDRU526_237
BRAM_R.BRAM_ADDRBWRADDRU5.BRAM_CASCINBOT_ADDRBWRADDRU526_239
BRAM_R.BRAM_ADDRBWRADDRU5.BRAM_CASCINTOP_ADDRBWRADDRU5!26_237
BRAM_R.BRAM_ADDRBWRADDRU5.BRAM_CASCINTOP_ADDRBWRADDRU526_238
BRAM_R.BRAM_ADDRBWRADDRU5.BRAM_CASCINTOP_ADDRBWRADDRU526_239
BRAM_R.BRAM_ADDRBWRADDRU5.BRAM_R_IMUX_ADDRBWRADDRU5!26_237
BRAM_R.BRAM_ADDRBWRADDRU5.BRAM_R_IMUX_ADDRBWRADDRU5!26_238
BRAM_R.BRAM_ADDRBWRADDRU5.BRAM_R_IMUX_ADDRBWRADDRU5!26_239

BRAM_R.BRAM_ADDRBWRADDRU6

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU6.BRAM_CASCINBOT_ADDRBWRADDRU6!26_174
BRAM_R.BRAM_ADDRBWRADDRU6.BRAM_CASCINBOT_ADDRBWRADDRU626_173
BRAM_R.BRAM_ADDRBWRADDRU6.BRAM_CASCINBOT_ADDRBWRADDRU626_175
BRAM_R.BRAM_ADDRBWRADDRU6.BRAM_CASCINTOP_ADDRBWRADDRU6!26_173
BRAM_R.BRAM_ADDRBWRADDRU6.BRAM_CASCINTOP_ADDRBWRADDRU626_174
BRAM_R.BRAM_ADDRBWRADDRU6.BRAM_CASCINTOP_ADDRBWRADDRU626_175
BRAM_R.BRAM_ADDRBWRADDRU6.BRAM_R_IMUX_ADDRBWRADDRU6!26_173
BRAM_R.BRAM_ADDRBWRADDRU6.BRAM_R_IMUX_ADDRBWRADDRU6!26_174
BRAM_R.BRAM_ADDRBWRADDRU6.BRAM_R_IMUX_ADDRBWRADDRU6!26_175

BRAM_R.BRAM_ADDRBWRADDRU7

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU7.BRAM_CASCINBOT_ADDRBWRADDRU7!26_190
BRAM_R.BRAM_ADDRBWRADDRU7.BRAM_CASCINBOT_ADDRBWRADDRU726_189
BRAM_R.BRAM_ADDRBWRADDRU7.BRAM_CASCINBOT_ADDRBWRADDRU726_191
BRAM_R.BRAM_ADDRBWRADDRU7.BRAM_CASCINTOP_ADDRBWRADDRU7!26_189
BRAM_R.BRAM_ADDRBWRADDRU7.BRAM_CASCINTOP_ADDRBWRADDRU726_190
BRAM_R.BRAM_ADDRBWRADDRU7.BRAM_CASCINTOP_ADDRBWRADDRU726_191
BRAM_R.BRAM_ADDRBWRADDRU7.BRAM_R_IMUX_ADDRBWRADDRU7!26_189
BRAM_R.BRAM_ADDRBWRADDRU7.BRAM_R_IMUX_ADDRBWRADDRU7!26_190
BRAM_R.BRAM_ADDRBWRADDRU7.BRAM_R_IMUX_ADDRBWRADDRU7!26_191

BRAM_R.BRAM_ADDRBWRADDRU8

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU8.BRAM_CASCINBOT_ADDRBWRADDRU8!26_94
BRAM_R.BRAM_ADDRBWRADDRU8.BRAM_CASCINBOT_ADDRBWRADDRU826_93
BRAM_R.BRAM_ADDRBWRADDRU8.BRAM_CASCINBOT_ADDRBWRADDRU826_95
BRAM_R.BRAM_ADDRBWRADDRU8.BRAM_CASCINTOP_ADDRBWRADDRU8!26_93
BRAM_R.BRAM_ADDRBWRADDRU8.BRAM_CASCINTOP_ADDRBWRADDRU826_94
BRAM_R.BRAM_ADDRBWRADDRU8.BRAM_CASCINTOP_ADDRBWRADDRU826_95
BRAM_R.BRAM_ADDRBWRADDRU8.BRAM_R_IMUX_ADDRBWRADDRU8!26_93
BRAM_R.BRAM_ADDRBWRADDRU8.BRAM_R_IMUX_ADDRBWRADDRU8!26_94
BRAM_R.BRAM_ADDRBWRADDRU8.BRAM_R_IMUX_ADDRBWRADDRU8!26_95

BRAM_R.BRAM_ADDRBWRADDRU9

Bit NamePosition
BRAM_R.BRAM_ADDRBWRADDRU9.BRAM_CASCINBOT_ADDRBWRADDRU9!26_222
BRAM_R.BRAM_ADDRBWRADDRU9.BRAM_CASCINBOT_ADDRBWRADDRU926_221
BRAM_R.BRAM_ADDRBWRADDRU9.BRAM_CASCINBOT_ADDRBWRADDRU926_223
BRAM_R.BRAM_ADDRBWRADDRU9.BRAM_CASCINTOP_ADDRBWRADDRU9!26_221
BRAM_R.BRAM_ADDRBWRADDRU9.BRAM_CASCINTOP_ADDRBWRADDRU926_222
BRAM_R.BRAM_ADDRBWRADDRU9.BRAM_CASCINTOP_ADDRBWRADDRU926_223
BRAM_R.BRAM_ADDRBWRADDRU9.BRAM_R_IMUX_ADDRBWRADDRU9!26_221
BRAM_R.BRAM_ADDRBWRADDRU9.BRAM_R_IMUX_ADDRBWRADDRU9!26_222
BRAM_R.BRAM_ADDRBWRADDRU9.BRAM_R_IMUX_ADDRBWRADDRU9!26_223

BRAM_R.RAMB18_Y0

Bit NamePosition
BRAM_R.RAMB18_Y0.DOA_REG27_69
BRAM_R.RAMB18_Y0.DOB_REG27_72
BRAM_R.RAMB18_Y0.FIFO_MODE27_150
BRAM_R.RAMB18_Y0.IN_USE27_100
BRAM_R.RAMB18_Y0.IN_USE27_99
BRAM_R.RAMB18_Y0.RDADDR_COLLISION_HWCONFIG_DELAYED_WRITE!27_96
BRAM_R.RAMB18_Y0.RDADDR_COLLISION_HWCONFIG_PERFORMANCE27_96
BRAM_R.RAMB18_Y0.READ_WIDTH_A_1!27_35
BRAM_R.RAMB18_Y0.READ_WIDTH_A_1!27_36
BRAM_R.RAMB18_Y0.READ_WIDTH_A_1!27_37
BRAM_R.RAMB18_Y0.READ_WIDTH_A_18!27_35
BRAM_R.RAMB18_Y0.READ_WIDTH_A_18!27_36
BRAM_R.RAMB18_Y0.READ_WIDTH_A_1827_37
BRAM_R.RAMB18_Y0.READ_WIDTH_A_2!27_36
BRAM_R.RAMB18_Y0.READ_WIDTH_A_2!27_37
BRAM_R.RAMB18_Y0.READ_WIDTH_A_227_35
BRAM_R.RAMB18_Y0.READ_WIDTH_A_4!27_35
BRAM_R.RAMB18_Y0.READ_WIDTH_A_4!27_37
BRAM_R.RAMB18_Y0.READ_WIDTH_A_427_36
BRAM_R.RAMB18_Y0.READ_WIDTH_A_9!27_37
BRAM_R.RAMB18_Y0.READ_WIDTH_A_927_35
BRAM_R.RAMB18_Y0.READ_WIDTH_A_927_36
BRAM_R.RAMB18_Y0.READ_WIDTH_B_1!27_43
BRAM_R.RAMB18_Y0.READ_WIDTH_B_1!27_44
BRAM_R.RAMB18_Y0.READ_WIDTH_B_1!27_45
BRAM_R.RAMB18_Y0.READ_WIDTH_B_18!27_43
BRAM_R.RAMB18_Y0.READ_WIDTH_B_18!27_44
BRAM_R.RAMB18_Y0.READ_WIDTH_B_1827_45
BRAM_R.RAMB18_Y0.READ_WIDTH_B_2!27_44
BRAM_R.RAMB18_Y0.READ_WIDTH_B_2!27_45
BRAM_R.RAMB18_Y0.READ_WIDTH_B_227_43
BRAM_R.RAMB18_Y0.READ_WIDTH_B_4!27_43
BRAM_R.RAMB18_Y0.READ_WIDTH_B_4!27_45
BRAM_R.RAMB18_Y0.READ_WIDTH_B_427_44
BRAM_R.RAMB18_Y0.READ_WIDTH_B_9!27_45
BRAM_R.RAMB18_Y0.READ_WIDTH_B_927_43
BRAM_R.RAMB18_Y0.READ_WIDTH_B_927_44
BRAM_R.RAMB18_Y0.RSTREG_PRIORITY_A_REGCE27_124
BRAM_R.RAMB18_Y0.RSTREG_PRIORITY_A_RSTREG!27_124
BRAM_R.RAMB18_Y0.RSTREG_PRIORITY_B_REGCE27_125
BRAM_R.RAMB18_Y0.RSTREG_PRIORITY_B_RSTREG!27_125
BRAM_R.RAMB18_Y0.SDP_READ_WIDTH_3627_48
BRAM_R.RAMB18_Y0.SDP_WRITE_WIDTH_3627_40
BRAM_R.RAMB18_Y0.WRITE_MODE_A_NO_CHANGE27_64
BRAM_R.RAMB18_Y0.WRITE_MODE_A_READ_FIRST27_56
BRAM_R.RAMB18_Y0.WRITE_MODE_B_NO_CHANGE27_68
BRAM_R.RAMB18_Y0.WRITE_MODE_B_READ_FIRST27_67
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_1!27_51
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_1!27_52
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_1!27_53
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_18!27_51
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_18!27_52
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_1827_53
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_2!27_52
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_2!27_53
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_227_51
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_4!27_51
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_4!27_53
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_427_52
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_9!27_53
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_927_51
BRAM_R.RAMB18_Y0.WRITE_WIDTH_A_927_52
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_1!27_59
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_1!27_60
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_1!27_61
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_18!27_59
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_18!27_60
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_1827_61
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_2!27_60
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_2!27_61
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_227_59
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_4!27_59
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_4!27_61
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_427_60
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_9!27_61
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_927_59
BRAM_R.RAMB18_Y0.WRITE_WIDTH_B_927_60
BRAM_R.RAMB18_Y0.ZINIT_A[0]27_73
BRAM_R.RAMB18_Y0.ZINIT_A[10]27_129
BRAM_R.RAMB18_Y0.ZINIT_A[11]27_113
BRAM_R.RAMB18_Y0.ZINIT_A[12]27_97
BRAM_R.RAMB18_Y0.ZINIT_A[13]27_81
BRAM_R.RAMB18_Y0.ZINIT_A[14]27_49
BRAM_R.RAMB18_Y0.ZINIT_A[15]27_33
BRAM_R.RAMB18_Y0.ZINIT_A[16]27_17
BRAM_R.RAMB18_Y0.ZINIT_A[17]27_01
BRAM_R.RAMB18_Y0.ZINIT_A[1]27_65
BRAM_R.RAMB18_Y0.ZINIT_A[2]27_137
BRAM_R.RAMB18_Y0.ZINIT_A[3]27_121
BRAM_R.RAMB18_Y0.ZINIT_A[4]27_105
BRAM_R.RAMB18_Y0.ZINIT_A[5]27_89
BRAM_R.RAMB18_Y0.ZINIT_A[6]27_57
BRAM_R.RAMB18_Y0.ZINIT_A[7]27_41
BRAM_R.RAMB18_Y0.ZINIT_A[8]27_25
BRAM_R.RAMB18_Y0.ZINIT_A[9]27_09
BRAM_R.RAMB18_Y0.ZINIT_B[0]27_79
BRAM_R.RAMB18_Y0.ZINIT_B[10]27_135
BRAM_R.RAMB18_Y0.ZINIT_B[11]27_119
BRAM_R.RAMB18_Y0.ZINIT_B[12]27_103
BRAM_R.RAMB18_Y0.ZINIT_B[13]27_87
BRAM_R.RAMB18_Y0.ZINIT_B[14]27_55
BRAM_R.RAMB18_Y0.ZINIT_B[15]27_39
BRAM_R.RAMB18_Y0.ZINIT_B[16]27_23
BRAM_R.RAMB18_Y0.ZINIT_B[17]27_07
BRAM_R.RAMB18_Y0.ZINIT_B[1]27_71
BRAM_R.RAMB18_Y0.ZINIT_B[2]27_143
BRAM_R.RAMB18_Y0.ZINIT_B[3]27_127
BRAM_R.RAMB18_Y0.ZINIT_B[4]27_111
BRAM_R.RAMB18_Y0.ZINIT_B[5]27_95
BRAM_R.RAMB18_Y0.ZINIT_B[6]27_63
BRAM_R.RAMB18_Y0.ZINIT_B[7]27_47
BRAM_R.RAMB18_Y0.ZINIT_B[8]27_31
BRAM_R.RAMB18_Y0.ZINIT_B[9]27_15
BRAM_R.RAMB18_Y0.ZINV_CLKARDCLK27_107
BRAM_R.RAMB18_Y0.ZINV_CLKBWRCLK27_109
BRAM_R.RAMB18_Y0.ZINV_ENARDEN27_112
BRAM_R.RAMB18_Y0.ZINV_ENBWREN27_115
BRAM_R.RAMB18_Y0.ZINV_REGCLKARDRCLK27_104
BRAM_R.RAMB18_Y0.ZINV_REGCLKB27_108
BRAM_R.RAMB18_Y0.ZINV_RSTRAMARSTRAM27_116
BRAM_R.RAMB18_Y0.ZINV_RSTRAMB27_117
BRAM_R.RAMB18_Y0.ZINV_RSTREGARSTREG27_120
BRAM_R.RAMB18_Y0.ZINV_RSTREGB27_123
BRAM_R.RAMB18_Y0.ZSRVAL_A[0]27_74
BRAM_R.RAMB18_Y0.ZSRVAL_A[10]27_130
BRAM_R.RAMB18_Y0.ZSRVAL_A[11]27_114
BRAM_R.RAMB18_Y0.ZSRVAL_A[12]27_98
BRAM_R.RAMB18_Y0.ZSRVAL_A[13]27_82
BRAM_R.RAMB18_Y0.ZSRVAL_A[14]27_50
BRAM_R.RAMB18_Y0.ZSRVAL_A[15]27_34
BRAM_R.RAMB18_Y0.ZSRVAL_A[16]27_18
BRAM_R.RAMB18_Y0.ZSRVAL_A[17]27_02
BRAM_R.RAMB18_Y0.ZSRVAL_A[1]27_66
BRAM_R.RAMB18_Y0.ZSRVAL_A[2]27_138
BRAM_R.RAMB18_Y0.ZSRVAL_A[3]27_122
BRAM_R.RAMB18_Y0.ZSRVAL_A[4]27_106
BRAM_R.RAMB18_Y0.ZSRVAL_A[5]27_90
BRAM_R.RAMB18_Y0.ZSRVAL_A[6]27_58
BRAM_R.RAMB18_Y0.ZSRVAL_A[7]27_42
BRAM_R.RAMB18_Y0.ZSRVAL_A[8]27_26
BRAM_R.RAMB18_Y0.ZSRVAL_A[9]27_10
BRAM_R.RAMB18_Y0.ZSRVAL_B[0]27_78
BRAM_R.RAMB18_Y0.ZSRVAL_B[10]27_134
BRAM_R.RAMB18_Y0.ZSRVAL_B[11]27_118
BRAM_R.RAMB18_Y0.ZSRVAL_B[12]27_102
BRAM_R.RAMB18_Y0.ZSRVAL_B[13]27_86
BRAM_R.RAMB18_Y0.ZSRVAL_B[14]27_54
BRAM_R.RAMB18_Y0.ZSRVAL_B[15]27_38
BRAM_R.RAMB18_Y0.ZSRVAL_B[16]27_22
BRAM_R.RAMB18_Y0.ZSRVAL_B[17]27_06
BRAM_R.RAMB18_Y0.ZSRVAL_B[1]27_70
BRAM_R.RAMB18_Y0.ZSRVAL_B[2]27_142
BRAM_R.RAMB18_Y0.ZSRVAL_B[3]27_126
BRAM_R.RAMB18_Y0.ZSRVAL_B[4]27_110
BRAM_R.RAMB18_Y0.ZSRVAL_B[5]27_94
BRAM_R.RAMB18_Y0.ZSRVAL_B[6]27_62
BRAM_R.RAMB18_Y0.ZSRVAL_B[7]27_46
BRAM_R.RAMB18_Y0.ZSRVAL_B[8]27_30
BRAM_R.RAMB18_Y0.ZSRVAL_B[9]27_14

BRAM_R.RAMB18_Y1

Bit NamePosition
BRAM_R.RAMB18_Y1.DOA_REG27_251
BRAM_R.RAMB18_Y1.DOB_REG27_248
BRAM_R.RAMB18_Y1.FIFO_MODE27_169
BRAM_R.RAMB18_Y1.IN_USE27_220
BRAM_R.RAMB18_Y1.IN_USE27_221
BRAM_R.RAMB18_Y1.RDADDR_COLLISION_HWCONFIG_DELAYED_WRITE!27_224
BRAM_R.RAMB18_Y1.RDADDR_COLLISION_HWCONFIG_PERFORMANCE27_224
BRAM_R.RAMB18_Y1.READ_WIDTH_A_1!27_283
BRAM_R.RAMB18_Y1.READ_WIDTH_A_1!27_284
BRAM_R.RAMB18_Y1.READ_WIDTH_A_1!27_285
BRAM_R.RAMB18_Y1.READ_WIDTH_A_18!27_284
BRAM_R.RAMB18_Y1.READ_WIDTH_A_18!27_285
BRAM_R.RAMB18_Y1.READ_WIDTH_A_1827_283
BRAM_R.RAMB18_Y1.READ_WIDTH_A_2!27_283
BRAM_R.RAMB18_Y1.READ_WIDTH_A_2!27_284
BRAM_R.RAMB18_Y1.READ_WIDTH_A_227_285
BRAM_R.RAMB18_Y1.READ_WIDTH_A_4!27_283
BRAM_R.RAMB18_Y1.READ_WIDTH_A_4!27_285
BRAM_R.RAMB18_Y1.READ_WIDTH_A_427_284
BRAM_R.RAMB18_Y1.READ_WIDTH_A_9!27_283
BRAM_R.RAMB18_Y1.READ_WIDTH_A_927_284
BRAM_R.RAMB18_Y1.READ_WIDTH_A_927_285
BRAM_R.RAMB18_Y1.READ_WIDTH_B_1!27_275
BRAM_R.RAMB18_Y1.READ_WIDTH_B_1!27_276
BRAM_R.RAMB18_Y1.READ_WIDTH_B_1!27_277
BRAM_R.RAMB18_Y1.READ_WIDTH_B_18!27_276
BRAM_R.RAMB18_Y1.READ_WIDTH_B_18!27_277
BRAM_R.RAMB18_Y1.READ_WIDTH_B_1827_275
BRAM_R.RAMB18_Y1.READ_WIDTH_B_2!27_275
BRAM_R.RAMB18_Y1.READ_WIDTH_B_2!27_276
BRAM_R.RAMB18_Y1.READ_WIDTH_B_227_277
BRAM_R.RAMB18_Y1.READ_WIDTH_B_4!27_275
BRAM_R.RAMB18_Y1.READ_WIDTH_B_4!27_277
BRAM_R.RAMB18_Y1.READ_WIDTH_B_427_276
BRAM_R.RAMB18_Y1.READ_WIDTH_B_9!27_275
BRAM_R.RAMB18_Y1.READ_WIDTH_B_927_276
BRAM_R.RAMB18_Y1.READ_WIDTH_B_927_277
BRAM_R.RAMB18_Y1.RSTREG_PRIORITY_A_REGCE27_196
BRAM_R.RAMB18_Y1.RSTREG_PRIORITY_A_RSTREG!27_196
BRAM_R.RAMB18_Y1.RSTREG_PRIORITY_B_REGCE27_195
BRAM_R.RAMB18_Y1.RSTREG_PRIORITY_B_RSTREG!27_195
BRAM_R.RAMB18_Y1.SDP_READ_WIDTH_3627_272
BRAM_R.RAMB18_Y1.SDP_WRITE_WIDTH_3627_280
BRAM_R.RAMB18_Y1.WRITE_MODE_A_NO_CHANGE27_256
BRAM_R.RAMB18_Y1.WRITE_MODE_A_READ_FIRST27_264
BRAM_R.RAMB18_Y1.WRITE_MODE_B_NO_CHANGE27_252
BRAM_R.RAMB18_Y1.WRITE_MODE_B_READ_FIRST27_253
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_1!27_267
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_1!27_268
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_1!27_269
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_18!27_268
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_18!27_269
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_1827_267
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_2!27_267
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_2!27_268
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_227_269
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_4!27_267
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_4!27_269
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_427_268
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_9!27_267
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_927_268
BRAM_R.RAMB18_Y1.WRITE_WIDTH_A_927_269
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_1!27_259
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_1!27_260
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_1!27_261
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_18!27_260
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_18!27_261
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_1827_259
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_2!27_259
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_2!27_260
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_227_261
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_4!27_259
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_4!27_261
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_427_260
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_9!27_259
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_927_260
BRAM_R.RAMB18_Y1.WRITE_WIDTH_B_927_261
BRAM_R.RAMB18_Y1.ZINIT_A[0]27_249
BRAM_R.RAMB18_Y1.ZINIT_A[10]27_305
BRAM_R.RAMB18_Y1.ZINIT_A[11]27_289
BRAM_R.RAMB18_Y1.ZINIT_A[12]27_273
BRAM_R.RAMB18_Y1.ZINIT_A[13]27_257
BRAM_R.RAMB18_Y1.ZINIT_A[14]27_225
BRAM_R.RAMB18_Y1.ZINIT_A[15]27_209
BRAM_R.RAMB18_Y1.ZINIT_A[16]27_193
BRAM_R.RAMB18_Y1.ZINIT_A[17]27_177
BRAM_R.RAMB18_Y1.ZINIT_A[1]27_241
BRAM_R.RAMB18_Y1.ZINIT_A[2]27_313
BRAM_R.RAMB18_Y1.ZINIT_A[3]27_297
BRAM_R.RAMB18_Y1.ZINIT_A[4]27_281
BRAM_R.RAMB18_Y1.ZINIT_A[5]27_265
BRAM_R.RAMB18_Y1.ZINIT_A[6]27_233
BRAM_R.RAMB18_Y1.ZINIT_A[7]27_217
BRAM_R.RAMB18_Y1.ZINIT_A[8]27_201
BRAM_R.RAMB18_Y1.ZINIT_A[9]27_185
BRAM_R.RAMB18_Y1.ZINIT_B[0]27_255
BRAM_R.RAMB18_Y1.ZINIT_B[10]27_311
BRAM_R.RAMB18_Y1.ZINIT_B[11]27_295
BRAM_R.RAMB18_Y1.ZINIT_B[12]27_279
BRAM_R.RAMB18_Y1.ZINIT_B[13]27_263
BRAM_R.RAMB18_Y1.ZINIT_B[14]27_231
BRAM_R.RAMB18_Y1.ZINIT_B[15]27_215
BRAM_R.RAMB18_Y1.ZINIT_B[16]27_199
BRAM_R.RAMB18_Y1.ZINIT_B[17]27_183
BRAM_R.RAMB18_Y1.ZINIT_B[1]27_247
BRAM_R.RAMB18_Y1.ZINIT_B[2]27_319
BRAM_R.RAMB18_Y1.ZINIT_B[3]27_303
BRAM_R.RAMB18_Y1.ZINIT_B[4]27_287
BRAM_R.RAMB18_Y1.ZINIT_B[5]27_271
BRAM_R.RAMB18_Y1.ZINIT_B[6]27_239
BRAM_R.RAMB18_Y1.ZINIT_B[7]27_223
BRAM_R.RAMB18_Y1.ZINIT_B[8]27_207
BRAM_R.RAMB18_Y1.ZINIT_B[9]27_191
BRAM_R.RAMB18_Y1.ZINV_CLKARDCLK27_213
BRAM_R.RAMB18_Y1.ZINV_CLKBWRCLK27_211
BRAM_R.RAMB18_Y1.ZINV_ENARDEN27_208
BRAM_R.RAMB18_Y1.ZINV_ENBWREN27_205
BRAM_R.RAMB18_Y1.ZINV_REGCLKARDRCLK27_216
BRAM_R.RAMB18_Y1.ZINV_REGCLKB27_212
BRAM_R.RAMB18_Y1.ZINV_RSTRAMARSTRAM27_204
BRAM_R.RAMB18_Y1.ZINV_RSTRAMB27_203
BRAM_R.RAMB18_Y1.ZINV_RSTREGARSTREG27_200
BRAM_R.RAMB18_Y1.ZINV_RSTREGB27_197
BRAM_R.RAMB18_Y1.ZSRVAL_A[0]27_250
BRAM_R.RAMB18_Y1.ZSRVAL_A[10]27_306
BRAM_R.RAMB18_Y1.ZSRVAL_A[11]27_290
BRAM_R.RAMB18_Y1.ZSRVAL_A[12]27_274
BRAM_R.RAMB18_Y1.ZSRVAL_A[13]27_258
BRAM_R.RAMB18_Y1.ZSRVAL_A[14]27_226
BRAM_R.RAMB18_Y1.ZSRVAL_A[15]27_210
BRAM_R.RAMB18_Y1.ZSRVAL_A[16]27_194
BRAM_R.RAMB18_Y1.ZSRVAL_A[17]27_178
BRAM_R.RAMB18_Y1.ZSRVAL_A[1]27_242
BRAM_R.RAMB18_Y1.ZSRVAL_A[2]27_314
BRAM_R.RAMB18_Y1.ZSRVAL_A[3]27_298
BRAM_R.RAMB18_Y1.ZSRVAL_A[4]27_282
BRAM_R.RAMB18_Y1.ZSRVAL_A[5]27_266
BRAM_R.RAMB18_Y1.ZSRVAL_A[6]27_234
BRAM_R.RAMB18_Y1.ZSRVAL_A[7]27_218
BRAM_R.RAMB18_Y1.ZSRVAL_A[8]27_202
BRAM_R.RAMB18_Y1.ZSRVAL_A[9]27_186
BRAM_R.RAMB18_Y1.ZSRVAL_B[0]27_254
BRAM_R.RAMB18_Y1.ZSRVAL_B[10]27_310
BRAM_R.RAMB18_Y1.ZSRVAL_B[11]27_294
BRAM_R.RAMB18_Y1.ZSRVAL_B[12]27_278
BRAM_R.RAMB18_Y1.ZSRVAL_B[13]27_262
BRAM_R.RAMB18_Y1.ZSRVAL_B[14]27_230
BRAM_R.RAMB18_Y1.ZSRVAL_B[15]27_214
BRAM_R.RAMB18_Y1.ZSRVAL_B[16]27_198
BRAM_R.RAMB18_Y1.ZSRVAL_B[17]27_182
BRAM_R.RAMB18_Y1.ZSRVAL_B[1]27_246
BRAM_R.RAMB18_Y1.ZSRVAL_B[2]27_318
BRAM_R.RAMB18_Y1.ZSRVAL_B[3]27_302
BRAM_R.RAMB18_Y1.ZSRVAL_B[4]27_286
BRAM_R.RAMB18_Y1.ZSRVAL_B[5]27_270
BRAM_R.RAMB18_Y1.ZSRVAL_B[6]27_238
BRAM_R.RAMB18_Y1.ZSRVAL_B[7]27_222
BRAM_R.RAMB18_Y1.ZSRVAL_B[8]27_206
BRAM_R.RAMB18_Y1.ZSRVAL_B[9]27_190

BRAM_R.RAMB36

Bit NamePosition
BRAM_R.RAMB36.BRAM36_READ_WIDTH_A_127_184
BRAM_R.RAMB36.BRAM36_WRITE_WIDTH_A_127_180
BRAM_R.RAMB36.EN_ECC_READ27_175
BRAM_R.RAMB36.EN_ECC_WRITE27_162
BRAM_R.RAMB36.RAM_EXTENSION_A_LOWER27_188
BRAM_R.RAMB36.RAM_EXTENSION_A_NONE_OR_UPPER!27_188
BRAM_R.RAMB36.RAM_EXTENSION_B_LOWER27_187
BRAM_R.RAMB36.RAM_EXTENSION_B_NONE_OR_UPPER!27_187

Pseudo PIPs

PIPType
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU0.BRAM_ADDRARDADDRU0always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU1.BRAM_ADDRARDADDRU1always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU10.BRAM_ADDRARDADDRU10always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU11.BRAM_ADDRARDADDRU11always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU12.BRAM_ADDRARDADDRU12always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU13.BRAM_ADDRARDADDRU13always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU14.BRAM_ADDRARDADDRU14always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU2.BRAM_ADDRARDADDRU2always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU3.BRAM_ADDRARDADDRU3always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU4.BRAM_ADDRARDADDRU4always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU5.BRAM_ADDRARDADDRU5always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU6.BRAM_ADDRARDADDRU6always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU7.BRAM_ADDRARDADDRU7always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU8.BRAM_ADDRARDADDRU8always
BRAM_R.BRAM_CASCOUT_ADDRARDADDRU9.BRAM_ADDRARDADDRU9always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU0.BRAM_ADDRBWRADDRU0always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU1.BRAM_ADDRBWRADDRU1always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU10.BRAM_ADDRBWRADDRU10always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU11.BRAM_ADDRBWRADDRU11always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU12.BRAM_ADDRBWRADDRU12always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU13.BRAM_ADDRBWRADDRU13always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU14.BRAM_ADDRBWRADDRU14always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU2.BRAM_ADDRBWRADDRU2always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU3.BRAM_ADDRBWRADDRU3always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU4.BRAM_ADDRBWRADDRU4always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU5.BRAM_ADDRBWRADDRU5always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU6.BRAM_ADDRBWRADDRU6always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU7.BRAM_ADDRBWRADDRU7always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU8.BRAM_ADDRBWRADDRU8always
BRAM_R.BRAM_CASCOUT_ADDRBWRADDRU9.BRAM_ADDRBWRADDRU9always
BRAM_R.BRAM_FIFO18_ADDRARDADDR0.BRAM_ADDRARDADDRL1always
BRAM_R.BRAM_FIFO18_ADDRARDADDR1.BRAM_ADDRARDADDRL2always
BRAM_R.BRAM_FIFO18_ADDRARDADDR10.BRAM_ADDRARDADDRL11always
BRAM_R.BRAM_FIFO18_ADDRARDADDR11.BRAM_ADDRARDADDRL12always
BRAM_R.BRAM_FIFO18_ADDRARDADDR12.BRAM_ADDRARDADDRL13always
BRAM_R.BRAM_FIFO18_ADDRARDADDR13.BRAM_ADDRARDADDRL14always
BRAM_R.BRAM_FIFO18_ADDRARDADDR2.BRAM_ADDRARDADDRL3always
BRAM_R.BRAM_FIFO18_ADDRARDADDR3.BRAM_ADDRARDADDRL4always
BRAM_R.BRAM_FIFO18_ADDRARDADDR4.BRAM_ADDRARDADDRL5always
BRAM_R.BRAM_FIFO18_ADDRARDADDR5.BRAM_ADDRARDADDRL6always
BRAM_R.BRAM_FIFO18_ADDRARDADDR6.BRAM_ADDRARDADDRL7always
BRAM_R.BRAM_FIFO18_ADDRARDADDR7.BRAM_ADDRARDADDRL8always
BRAM_R.BRAM_FIFO18_ADDRARDADDR8.BRAM_ADDRARDADDRL9always
BRAM_R.BRAM_FIFO18_ADDRARDADDR9.BRAM_ADDRARDADDRL10always
BRAM_R.BRAM_FIFO18_ADDRATIEHIGH0.BRAM_ADDRARDADDRL0always
BRAM_R.BRAM_FIFO18_ADDRATIEHIGH1.BRAM_IMUX_R_ADDRARDADDRL15always
BRAM_R.BRAM_FIFO18_ADDRBTIEHIGH0.BRAM_ADDRBWRADDRL0always
BRAM_R.BRAM_FIFO18_ADDRBTIEHIGH1.BRAM_IMUX_R_ADDRBWRADDRL15always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR0.BRAM_ADDRBWRADDRL1always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR1.BRAM_ADDRBWRADDRL2always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR10.BRAM_ADDRBWRADDRL11always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR11.BRAM_ADDRBWRADDRL12always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR12.BRAM_ADDRBWRADDRL13always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR13.BRAM_ADDRBWRADDRL14always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR2.BRAM_ADDRBWRADDRL3always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR3.BRAM_ADDRBWRADDRL4always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR4.BRAM_ADDRBWRADDRL5always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR5.BRAM_ADDRBWRADDRL6always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR6.BRAM_ADDRBWRADDRL7always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR7.BRAM_ADDRBWRADDRL8always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR8.BRAM_ADDRBWRADDRL9always
BRAM_R.BRAM_FIFO18_ADDRBWRADDR9.BRAM_ADDRBWRADDRL10always
BRAM_R.BRAM_FIFO18_CLKARDCLK.BRAM_CLK0_3always
BRAM_R.BRAM_FIFO18_CLKBWRCLK.BRAM_CLK0_1always
BRAM_R.BRAM_FIFO18_DIADI0.BRAM_IMUX16_1always
BRAM_R.BRAM_FIFO18_DIADI1.BRAM_IMUX26_0always
BRAM_R.BRAM_FIFO18_DIADI10.BRAM_IMUX29_0always
BRAM_R.BRAM_FIFO18_DIADI11.BRAM_IMUX31_0always
BRAM_R.BRAM_FIFO18_DIADI12.BRAM_IMUX42_1always
BRAM_R.BRAM_FIFO18_DIADI13.BRAM_IMUX44_1always
BRAM_R.BRAM_FIFO18_DIADI14.BRAM_IMUX46_1always
BRAM_R.BRAM_FIFO18_DIADI15.BRAM_IMUX41_2always
BRAM_R.BRAM_FIFO18_DIADI2.BRAM_IMUX28_0always
BRAM_R.BRAM_FIFO18_DIADI3.BRAM_IMUX30_0always
BRAM_R.BRAM_FIFO18_DIADI4.BRAM_IMUX41_1always
BRAM_R.BRAM_FIFO18_DIADI5.BRAM_IMUX43_1always
BRAM_R.BRAM_FIFO18_DIADI6.BRAM_IMUX45_1always
BRAM_R.BRAM_FIFO18_DIADI7.BRAM_IMUX40_2always
BRAM_R.BRAM_FIFO18_DIADI8.BRAM_IMUX25_0always
BRAM_R.BRAM_FIFO18_DIADI9.BRAM_IMUX27_0always
BRAM_R.BRAM_FIFO18_DIBDI0.BRAM_IMUX32_1always
BRAM_R.BRAM_FIFO18_DIBDI1.BRAM_IMUX34_0always
BRAM_R.BRAM_FIFO18_DIBDI10.BRAM_IMUX37_0always
BRAM_R.BRAM_FIFO18_DIBDI11.BRAM_IMUX39_0always
BRAM_R.BRAM_FIFO18_DIBDI12.BRAM_IMUX3_1always
BRAM_R.BRAM_FIFO18_DIBDI13.BRAM_IMUX5_1always
BRAM_R.BRAM_FIFO18_DIBDI14.BRAM_IMUX7_1always
BRAM_R.BRAM_FIFO18_DIBDI15.BRAM_IMUX2_2always
BRAM_R.BRAM_FIFO18_DIBDI2.BRAM_IMUX36_0always
BRAM_R.BRAM_FIFO18_DIBDI3.BRAM_IMUX38_0always
BRAM_R.BRAM_FIFO18_DIBDI4.BRAM_IMUX2_1always
BRAM_R.BRAM_FIFO18_DIBDI5.BRAM_IMUX4_1always
BRAM_R.BRAM_FIFO18_DIBDI6.BRAM_IMUX6_1always
BRAM_R.BRAM_FIFO18_DIBDI7.BRAM_IMUX1_2always
BRAM_R.BRAM_FIFO18_DIBDI8.BRAM_IMUX33_0always
BRAM_R.BRAM_FIFO18_DIBDI9.BRAM_IMUX35_0always
BRAM_R.BRAM_FIFO18_DIPADIP0.BRAM_IMUX3_2always
BRAM_R.BRAM_FIFO18_DIPADIP1.BRAM_IMUX40_1always
BRAM_R.BRAM_FIFO18_DIPBDIP0.BRAM_IMUX4_2always
BRAM_R.BRAM_FIFO18_DIPBDIP1.BRAM_IMUX1_1always
BRAM_R.BRAM_FIFO18_ENARDEN.BRAM_IMUX18_2always
BRAM_R.BRAM_FIFO18_ENBWREN.BRAM_IMUX34_2always
BRAM_R.BRAM_FIFO18_REGCEAREGCE.BRAM_IMUX19_2always
BRAM_R.BRAM_FIFO18_REGCEB.BRAM_IMUX35_2always
BRAM_R.BRAM_FIFO18_REGCLKARDRCLK.BRAM_CLK0_4always
BRAM_R.BRAM_FIFO18_REGCLKB.BRAM_CLK0_0always
BRAM_R.BRAM_FIFO18_RSTRAMARSTRAM.BRAM_CTRL0_3always
BRAM_R.BRAM_FIFO18_RSTRAMB.BRAM_CTRL0_1always
BRAM_R.BRAM_FIFO18_RSTREGARSTREG.BRAM_CTRL0_4always
BRAM_R.BRAM_FIFO18_RSTREGB.BRAM_CTRL0_0always
BRAM_R.BRAM_FIFO18_WEA0.BRAM_IMUX16_2always
BRAM_R.BRAM_FIFO18_WEA1.BRAM_IMUX32_2always
BRAM_R.BRAM_FIFO18_WEA2.BRAM_IMUX17_2always
BRAM_R.BRAM_FIFO18_WEA3.BRAM_IMUX33_2always
BRAM_R.BRAM_FIFO18_WEBWE0.BRAM_IMUX5_2always
BRAM_R.BRAM_FIFO18_WEBWE1.BRAM_IMUX21_2always
BRAM_R.BRAM_FIFO18_WEBWE2.BRAM_IMUX37_2always
BRAM_R.BRAM_FIFO18_WEBWE3.BRAM_BYP3_2always
BRAM_R.BRAM_FIFO18_WEBWE4.BRAM_IMUX6_2always
BRAM_R.BRAM_FIFO18_WEBWE5.BRAM_IMUX22_2always
BRAM_R.BRAM_FIFO18_WEBWE6.BRAM_IMUX38_2always
BRAM_R.BRAM_FIFO18_WEBWE7.BRAM_BYP6_2always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL0.BRAM_ADDRARDADDRL0always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL1.BRAM_ADDRARDADDRL1always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL10.BRAM_ADDRARDADDRL10always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL11.BRAM_ADDRARDADDRL11always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL12.BRAM_ADDRARDADDRL12always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL13.BRAM_ADDRARDADDRL13always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL14.BRAM_ADDRARDADDRL14always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL15.BRAM_IMUX_R_ADDRARDADDRL15always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL2.BRAM_ADDRARDADDRL2always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL3.BRAM_ADDRARDADDRL3always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL4.BRAM_ADDRARDADDRL4always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL5.BRAM_ADDRARDADDRL5always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL6.BRAM_ADDRARDADDRL6always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL7.BRAM_ADDRARDADDRL7always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL8.BRAM_ADDRARDADDRL8always
BRAM_R.BRAM_FIFO36_ADDRARDADDRL9.BRAM_ADDRARDADDRL9always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU0.BRAM_ADDRARDADDRU0always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU1.BRAM_ADDRARDADDRU1always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU10.BRAM_ADDRARDADDRU10always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU11.BRAM_ADDRARDADDRU11always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU12.BRAM_ADDRARDADDRU12always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU13.BRAM_ADDRARDADDRU13always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU14.BRAM_ADDRARDADDRU14always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU2.BRAM_ADDRARDADDRU2always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU3.BRAM_ADDRARDADDRU3always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU4.BRAM_ADDRARDADDRU4always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU5.BRAM_ADDRARDADDRU5always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU6.BRAM_ADDRARDADDRU6always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU7.BRAM_ADDRARDADDRU7always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU8.BRAM_ADDRARDADDRU8always
BRAM_R.BRAM_FIFO36_ADDRARDADDRU9.BRAM_ADDRARDADDRU9always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL0.BRAM_ADDRBWRADDRL0always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL1.BRAM_ADDRBWRADDRL1always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL10.BRAM_ADDRBWRADDRL10always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL11.BRAM_ADDRBWRADDRL11always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL12.BRAM_ADDRBWRADDRL12always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL13.BRAM_ADDRBWRADDRL13always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL14.BRAM_ADDRBWRADDRL14always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL15.BRAM_IMUX_R_ADDRBWRADDRL15always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL2.BRAM_ADDRBWRADDRL2always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL3.BRAM_ADDRBWRADDRL3always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL4.BRAM_ADDRBWRADDRL4always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL5.BRAM_ADDRBWRADDRL5always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL6.BRAM_ADDRBWRADDRL6always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL7.BRAM_ADDRBWRADDRL7always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL8.BRAM_ADDRBWRADDRL8always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRL9.BRAM_ADDRBWRADDRL9always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU0.BRAM_ADDRBWRADDRU0always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU1.BRAM_ADDRBWRADDRU1always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU10.BRAM_ADDRBWRADDRU10always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU11.BRAM_ADDRBWRADDRU11always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU12.BRAM_ADDRBWRADDRU12always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU13.BRAM_ADDRBWRADDRU13always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU14.BRAM_ADDRBWRADDRU14always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU2.BRAM_ADDRBWRADDRU2always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU3.BRAM_ADDRBWRADDRU3always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU4.BRAM_ADDRBWRADDRU4always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU5.BRAM_ADDRBWRADDRU5always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU6.BRAM_ADDRBWRADDRU6always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU7.BRAM_ADDRBWRADDRU7always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU8.BRAM_ADDRBWRADDRU8always
BRAM_R.BRAM_FIFO36_ADDRBWRADDRU9.BRAM_ADDRBWRADDRU9always
BRAM_R.BRAM_FIFO36_CASCADEOUTA_1.BRAM_FIFO36_CASCADEOUTAalways
BRAM_R.BRAM_FIFO36_CASCADEOUTB_1.BRAM_FIFO36_CASCADEOUTBalways
BRAM_R.BRAM_FIFO36_CLKARDCLKL.BRAM_CLK0_3always
BRAM_R.BRAM_FIFO36_CLKARDCLKU.BRAM_CLK1_3always
BRAM_R.BRAM_FIFO36_CLKBWRCLKL.BRAM_CLK0_1always
BRAM_R.BRAM_FIFO36_CLKBWRCLKU.BRAM_CLK1_1always
BRAM_R.BRAM_FIFO36_DIADIL0.BRAM_IMUX16_1always
BRAM_R.BRAM_FIFO36_DIADIL1.BRAM_IMUX26_0always
BRAM_R.BRAM_FIFO36_DIADIL10.BRAM_IMUX29_0always
BRAM_R.BRAM_FIFO36_DIADIL11.BRAM_IMUX31_0always
BRAM_R.BRAM_FIFO36_DIADIL12.BRAM_IMUX42_1always
BRAM_R.BRAM_FIFO36_DIADIL13.BRAM_IMUX44_1always
BRAM_R.BRAM_FIFO36_DIADIL14.BRAM_IMUX46_1always
BRAM_R.BRAM_FIFO36_DIADIL15.BRAM_IMUX41_2always
BRAM_R.BRAM_FIFO36_DIADIL2.BRAM_IMUX28_0always
BRAM_R.BRAM_FIFO36_DIADIL3.BRAM_IMUX30_0always
BRAM_R.BRAM_FIFO36_DIADIL4.BRAM_IMUX41_1always
BRAM_R.BRAM_FIFO36_DIADIL5.BRAM_IMUX43_1always
BRAM_R.BRAM_FIFO36_DIADIL6.BRAM_IMUX45_1always
BRAM_R.BRAM_FIFO36_DIADIL7.BRAM_IMUX40_2always
BRAM_R.BRAM_FIFO36_DIADIL8.BRAM_IMUX25_0always
BRAM_R.BRAM_FIFO36_DIADIL9.BRAM_IMUX27_0always
BRAM_R.BRAM_FIFO36_DIADIU0.BRAM_IMUX8_1always
BRAM_R.BRAM_FIFO36_DIADIU1.BRAM_IMUX40_3always
BRAM_R.BRAM_FIFO36_DIADIU10.BRAM_IMUX43_3always
BRAM_R.BRAM_FIFO36_DIADIU11.BRAM_IMUX45_3always
BRAM_R.BRAM_FIFO36_DIADIU12.BRAM_IMUX9_4always
BRAM_R.BRAM_FIFO36_DIADIU13.BRAM_IMUX11_4always
BRAM_R.BRAM_FIFO36_DIADIU14.BRAM_IMUX13_4always
BRAM_R.BRAM_FIFO36_DIADIU15.BRAM_IMUX15_4always
BRAM_R.BRAM_FIFO36_DIADIU2.BRAM_IMUX42_3always
BRAM_R.BRAM_FIFO36_DIADIU3.BRAM_IMUX44_3always
BRAM_R.BRAM_FIFO36_DIADIU4.BRAM_IMUX8_4always
BRAM_R.BRAM_FIFO36_DIADIU5.BRAM_IMUX10_4always
BRAM_R.BRAM_FIFO36_DIADIU6.BRAM_IMUX12_4always
BRAM_R.BRAM_FIFO36_DIADIU7.BRAM_IMUX14_4always
BRAM_R.BRAM_FIFO36_DIADIU8.BRAM_IMUX15_2always
BRAM_R.BRAM_FIFO36_DIADIU9.BRAM_IMUX41_3always
BRAM_R.BRAM_FIFO36_DIBDIL0.BRAM_IMUX32_1always
BRAM_R.BRAM_FIFO36_DIBDIL1.BRAM_IMUX34_0always
BRAM_R.BRAM_FIFO36_DIBDIL10.BRAM_IMUX37_0always
BRAM_R.BRAM_FIFO36_DIBDIL11.BRAM_IMUX39_0always
BRAM_R.BRAM_FIFO36_DIBDIL12.BRAM_IMUX3_1always
BRAM_R.BRAM_FIFO36_DIBDIL13.BRAM_IMUX5_1always
BRAM_R.BRAM_FIFO36_DIBDIL14.BRAM_IMUX7_1always
BRAM_R.BRAM_FIFO36_DIBDIL15.BRAM_IMUX2_2always
BRAM_R.BRAM_FIFO36_DIBDIL2.BRAM_IMUX36_0always
BRAM_R.BRAM_FIFO36_DIBDIL3.BRAM_IMUX38_0always
BRAM_R.BRAM_FIFO36_DIBDIL4.BRAM_IMUX2_1always
BRAM_R.BRAM_FIFO36_DIBDIL5.BRAM_IMUX4_1always
BRAM_R.BRAM_FIFO36_DIBDIL6.BRAM_IMUX6_1always
BRAM_R.BRAM_FIFO36_DIBDIL7.BRAM_IMUX1_2always
BRAM_R.BRAM_FIFO36_DIBDIL8.BRAM_IMUX33_0always
BRAM_R.BRAM_FIFO36_DIBDIL9.BRAM_IMUX35_0always
BRAM_R.BRAM_FIFO36_DIBDIU0.BRAM_IMUX24_1always
BRAM_R.BRAM_FIFO36_DIBDIU1.BRAM_IMUX1_3always
BRAM_R.BRAM_FIFO36_DIBDIU10.BRAM_IMUX4_3always
BRAM_R.BRAM_FIFO36_DIBDIU11.BRAM_IMUX6_3always
BRAM_R.BRAM_FIFO36_DIBDIU12.BRAM_IMUX17_4always
BRAM_R.BRAM_FIFO36_DIBDIU13.BRAM_IMUX19_4always
BRAM_R.BRAM_FIFO36_DIBDIU14.BRAM_IMUX21_4always
BRAM_R.BRAM_FIFO36_DIBDIU15.BRAM_IMUX23_4always
BRAM_R.BRAM_FIFO36_DIBDIU2.BRAM_IMUX3_3always
BRAM_R.BRAM_FIFO36_DIBDIU3.BRAM_IMUX5_3always
BRAM_R.BRAM_FIFO36_DIBDIU4.BRAM_IMUX16_4always
BRAM_R.BRAM_FIFO36_DIBDIU5.BRAM_IMUX18_4always
BRAM_R.BRAM_FIFO36_DIBDIU6.BRAM_IMUX20_4always
BRAM_R.BRAM_FIFO36_DIBDIU7.BRAM_IMUX22_4always
BRAM_R.BRAM_FIFO36_DIBDIU8.BRAM_IMUX23_2always
BRAM_R.BRAM_FIFO36_DIBDIU9.BRAM_IMUX2_3always
BRAM_R.BRAM_FIFO36_DIPADIPL0.BRAM_IMUX3_2always
BRAM_R.BRAM_FIFO36_DIPADIPL1.BRAM_IMUX40_1always
BRAM_R.BRAM_FIFO36_DIPADIPU0.BRAM_IMUX42_2always
BRAM_R.BRAM_FIFO36_DIPADIPU1.BRAM_IMUX15_3always
BRAM_R.BRAM_FIFO36_DIPBDIPL0.BRAM_IMUX4_2always
BRAM_R.BRAM_FIFO36_DIPBDIPL1.BRAM_IMUX1_1always
BRAM_R.BRAM_FIFO36_DIPBDIPU0.BRAM_IMUX43_2always
BRAM_R.BRAM_FIFO36_DIPBDIPU1.BRAM_IMUX23_3always
BRAM_R.BRAM_FIFO36_ENARDENL.BRAM_IMUX18_2always
BRAM_R.BRAM_FIFO36_ENARDENU.BRAM_IMUX10_2always
BRAM_R.BRAM_FIFO36_ENBWRENL.BRAM_IMUX34_2always
BRAM_R.BRAM_FIFO36_ENBWRENU.BRAM_IMUX26_2always
BRAM_R.BRAM_FIFO36_INJECTDBITERR.BRAM_IMUX31_2always
BRAM_R.BRAM_FIFO36_INJECTSBITERR.BRAM_IMUX39_2always
BRAM_R.BRAM_FIFO36_REGCEAREGCEL.BRAM_IMUX19_2always
BRAM_R.BRAM_FIFO36_REGCEAREGCEU.BRAM_IMUX11_2always
BRAM_R.BRAM_FIFO36_REGCEBL.BRAM_IMUX35_2always
BRAM_R.BRAM_FIFO36_REGCEBU.BRAM_IMUX27_2always
BRAM_R.BRAM_FIFO36_REGCLKARDRCLKL.BRAM_CLK0_4always
BRAM_R.BRAM_FIFO36_REGCLKARDRCLKU.BRAM_CLK1_4always
BRAM_R.BRAM_FIFO36_REGCLKBL.BRAM_CLK0_0always
BRAM_R.BRAM_FIFO36_REGCLKBU.BRAM_CLK1_0always
BRAM_R.BRAM_FIFO36_RSTRAMARSTRAMLRST.BRAM_CTRL0_3always
BRAM_R.BRAM_FIFO36_RSTRAMARSTRAMU.BRAM_CTRL1_3always
BRAM_R.BRAM_FIFO36_RSTRAMBL.BRAM_CTRL0_1always
BRAM_R.BRAM_FIFO36_RSTRAMBU.BRAM_CTRL1_1always
BRAM_R.BRAM_FIFO36_RSTREGARSTREGL.BRAM_CTRL0_4always
BRAM_R.BRAM_FIFO36_RSTREGARSTREGU.BRAM_CTRL1_4always
BRAM_R.BRAM_FIFO36_RSTREGBL.BRAM_CTRL0_0always
BRAM_R.BRAM_FIFO36_RSTREGBU.BRAM_CTRL1_0always
BRAM_R.BRAM_FIFO36_TSTBRAMRST.BRAM_IMUX0_0always
BRAM_R.BRAM_FIFO36_TSTCNT0.BRAM_IMUX10_0always
BRAM_R.BRAM_FIFO36_TSTCNT1.BRAM_IMUX11_0always
BRAM_R.BRAM_FIFO36_TSTCNT10.BRAM_IMUX28_4always
BRAM_R.BRAM_FIFO36_TSTCNT11.BRAM_IMUX29_4always
BRAM_R.BRAM_FIFO36_TSTCNT12.BRAM_IMUX30_4always
BRAM_R.BRAM_FIFO36_TSTCNT2.BRAM_IMUX12_0always
BRAM_R.BRAM_FIFO36_TSTCNT3.BRAM_IMUX13_0always
BRAM_R.BRAM_FIFO36_TSTCNT4.BRAM_IMUX14_0always
BRAM_R.BRAM_FIFO36_TSTCNT5.BRAM_IMUX15_0always
BRAM_R.BRAM_FIFO36_TSTCNT6.BRAM_IMUX24_4always
BRAM_R.BRAM_FIFO36_TSTCNT7.BRAM_IMUX25_4always
BRAM_R.BRAM_FIFO36_TSTCNT8.BRAM_IMUX26_4always
BRAM_R.BRAM_FIFO36_TSTCNT9.BRAM_IMUX27_4always
BRAM_R.BRAM_FIFO36_TSTFLAGIN.BRAM_IMUX5_0always
BRAM_R.BRAM_FIFO36_TSTIN0.BRAM_IMUX5_4always
BRAM_R.BRAM_FIFO36_TSTIN1.BRAM_IMUX16_0always
BRAM_R.BRAM_FIFO36_TSTIN2.BRAM_IMUX4_4always
BRAM_R.BRAM_FIFO36_TSTIN3.BRAM_IMUX8_0always
BRAM_R.BRAM_FIFO36_TSTIN4.BRAM_IMUX41_0always
BRAM_R.BRAM_FIFO36_TSTOFF.BRAM_IMUX4_0always
BRAM_R.BRAM_FIFO36_TSTRDCNTOFF.BRAM_IMUX2_0always
BRAM_R.BRAM_FIFO36_TSTRDOS0.BRAM_IMUX18_0always
BRAM_R.BRAM_FIFO36_TSTRDOS1.BRAM_IMUX19_0always
BRAM_R.BRAM_FIFO36_TSTRDOS10.BRAM_IMUX36_4always
BRAM_R.BRAM_FIFO36_TSTRDOS11.BRAM_IMUX37_4always
BRAM_R.BRAM_FIFO36_TSTRDOS12.BRAM_IMUX38_4always
BRAM_R.BRAM_FIFO36_TSTRDOS2.BRAM_IMUX20_0always
BRAM_R.BRAM_FIFO36_TSTRDOS3.BRAM_IMUX21_0always
BRAM_R.BRAM_FIFO36_TSTRDOS4.BRAM_IMUX22_0always
BRAM_R.BRAM_FIFO36_TSTRDOS5.BRAM_IMUX23_0always
BRAM_R.BRAM_FIFO36_TSTRDOS6.BRAM_IMUX32_4always
BRAM_R.BRAM_FIFO36_TSTRDOS7.BRAM_IMUX33_4always
BRAM_R.BRAM_FIFO36_TSTRDOS8.BRAM_IMUX34_4always
BRAM_R.BRAM_FIFO36_TSTRDOS9.BRAM_IMUX35_4always
BRAM_R.BRAM_FIFO36_TSTWRCNTOFF.BRAM_IMUX3_0always
BRAM_R.BRAM_FIFO36_TSTWROS0.BRAM_IMUX42_0always
BRAM_R.BRAM_FIFO36_TSTWROS1.BRAM_IMUX43_0always
BRAM_R.BRAM_FIFO36_TSTWROS10.BRAM_IMUX44_4always
BRAM_R.BRAM_FIFO36_TSTWROS11.BRAM_IMUX45_4always
BRAM_R.BRAM_FIFO36_TSTWROS12.BRAM_IMUX46_4always
BRAM_R.BRAM_FIFO36_TSTWROS2.BRAM_IMUX44_0always
BRAM_R.BRAM_FIFO36_TSTWROS3.BRAM_IMUX45_0always
BRAM_R.BRAM_FIFO36_TSTWROS4.BRAM_IMUX46_0always
BRAM_R.BRAM_FIFO36_TSTWROS5.BRAM_IMUX47_0always
BRAM_R.BRAM_FIFO36_TSTWROS6.BRAM_IMUX40_4always
BRAM_R.BRAM_FIFO36_TSTWROS7.BRAM_IMUX41_4always
BRAM_R.BRAM_FIFO36_TSTWROS8.BRAM_IMUX42_4always
BRAM_R.BRAM_FIFO36_TSTWROS9.BRAM_IMUX43_4always
BRAM_R.BRAM_FIFO36_WEAL0.BRAM_IMUX16_2always
BRAM_R.BRAM_FIFO36_WEAL1.BRAM_IMUX32_2always
BRAM_R.BRAM_FIFO36_WEAL2.BRAM_IMUX17_2always
BRAM_R.BRAM_FIFO36_WEAL3.BRAM_IMUX33_2always
BRAM_R.BRAM_FIFO36_WEAU0.BRAM_IMUX8_2always
BRAM_R.BRAM_FIFO36_WEAU1.BRAM_IMUX24_2always
BRAM_R.BRAM_FIFO36_WEAU2.BRAM_IMUX9_2always
BRAM_R.BRAM_FIFO36_WEAU3.BRAM_IMUX25_2always
BRAM_R.BRAM_FIFO36_WEBWEL0.BRAM_IMUX5_2always
BRAM_R.BRAM_FIFO36_WEBWEL1.BRAM_IMUX21_2always
BRAM_R.BRAM_FIFO36_WEBWEL2.BRAM_IMUX37_2always
BRAM_R.BRAM_FIFO36_WEBWEL3.BRAM_BYP3_2always
BRAM_R.BRAM_FIFO36_WEBWEL4.BRAM_IMUX6_2always
BRAM_R.BRAM_FIFO36_WEBWEL5.BRAM_IMUX22_2always
BRAM_R.BRAM_FIFO36_WEBWEL6.BRAM_IMUX38_2always
BRAM_R.BRAM_FIFO36_WEBWEL7.BRAM_BYP6_2always
BRAM_R.BRAM_FIFO36_WEBWEU0.BRAM_FAN5_2always
BRAM_R.BRAM_FIFO36_WEBWEU1.BRAM_IMUX13_2always
BRAM_R.BRAM_FIFO36_WEBWEU2.BRAM_IMUX29_2always
BRAM_R.BRAM_FIFO36_WEBWEU3.BRAM_IMUX45_2always
BRAM_R.BRAM_FIFO36_WEBWEU4.BRAM_FAN1_2always
BRAM_R.BRAM_FIFO36_WEBWEU5.BRAM_IMUX14_2always
BRAM_R.BRAM_FIFO36_WEBWEU6.BRAM_IMUX30_2always
BRAM_R.BRAM_FIFO36_WEBWEU7.BRAM_IMUX46_2always
BRAM_R.BRAM_IMUX_R_ADDRARDADDRL15.BRAM_IMUX31_3always
BRAM_R.BRAM_IMUX_R_ADDRBWRADDRL15.BRAM_IMUX39_3always
BRAM_R.BRAM_LOGIC_OUTS_B0_0.BRAM_FIFO18_DOADO8always
BRAM_R.BRAM_LOGIC_OUTS_B0_0.BRAM_FIFO36_DOADOL8always
BRAM_R.BRAM_LOGIC_OUTS_B0_1.BRAM_FIFO18_DOPADOP1always
BRAM_R.BRAM_LOGIC_OUTS_B0_1.BRAM_FIFO36_DOPADOPL1always
BRAM_R.BRAM_LOGIC_OUTS_B0_2.BRAM_FIFO18_DOADO15always
BRAM_R.BRAM_LOGIC_OUTS_B0_2.BRAM_FIFO36_DOADOL15always
BRAM_R.BRAM_LOGIC_OUTS_B0_3.BRAM_FIFO36_DOADOU9always
BRAM_R.BRAM_LOGIC_OUTS_B0_3.BRAM_RAMB18_DOADO9always
BRAM_R.BRAM_LOGIC_OUTS_B0_4.BRAM_FIFO36_DOADOU12always
BRAM_R.BRAM_LOGIC_OUTS_B0_4.BRAM_RAMB18_DOADO12always
BRAM_R.BRAM_LOGIC_OUTS_B10_0.BRAM_FIFO18_DOADO2always
BRAM_R.BRAM_LOGIC_OUTS_B10_0.BRAM_FIFO36_DOADOL2always
BRAM_R.BRAM_LOGIC_OUTS_B10_1.BRAM_FIFO18_DOADO5always
BRAM_R.BRAM_LOGIC_OUTS_B10_1.BRAM_FIFO36_DOADOL5always
BRAM_R.BRAM_LOGIC_OUTS_B10_2.BRAM_FIFO36_ECCPARITY4always
BRAM_R.BRAM_LOGIC_OUTS_B10_3.BRAM_FIFO36_DOADOU3always
BRAM_R.BRAM_LOGIC_OUTS_B10_3.BRAM_RAMB18_DOADO3always
BRAM_R.BRAM_LOGIC_OUTS_B10_4.BRAM_FIFO36_DOADOU6always
BRAM_R.BRAM_LOGIC_OUTS_B10_4.BRAM_RAMB18_DOADO6always
BRAM_R.BRAM_LOGIC_OUTS_B11_0.BRAM_FIFO36_TSTOUT4always
BRAM_R.BRAM_LOGIC_OUTS_B11_1.BRAM_FIFO36_TSTOUT3always
BRAM_R.BRAM_LOGIC_OUTS_B11_2.BRAM_FIFO18_RDCOUNT9always
BRAM_R.BRAM_LOGIC_OUTS_B11_2.BRAM_FIFO36_RDCOUNT9always
BRAM_R.BRAM_LOGIC_OUTS_B11_3.BRAM_FIFO36_ECCPARITY7always
BRAM_R.BRAM_LOGIC_OUTS_B11_4.BRAM_FIFO36_TSTOUT2always
BRAM_R.BRAM_LOGIC_OUTS_B12_0.BRAM_FIFO18_RDCOUNT0always
BRAM_R.BRAM_LOGIC_OUTS_B12_0.BRAM_FIFO36_RDCOUNT0always
BRAM_R.BRAM_LOGIC_OUTS_B12_1.BRAM_FIFO18_RDCOUNT3always
BRAM_R.BRAM_LOGIC_OUTS_B12_1.BRAM_FIFO36_RDCOUNT3always
BRAM_R.BRAM_LOGIC_OUTS_B12_2.BRAM_FIFO18_WRCOUNT7always
BRAM_R.BRAM_LOGIC_OUTS_B12_2.BRAM_FIFO36_WRCOUNT7always
BRAM_R.BRAM_LOGIC_OUTS_B12_3.BRAM_FIFO36_ECCPARITY1always
BRAM_R.BRAM_LOGIC_OUTS_B12_4.BRAM_FIFO18_WRCOUNT11always
BRAM_R.BRAM_LOGIC_OUTS_B12_4.BRAM_FIFO36_WRCOUNT11always
BRAM_R.BRAM_LOGIC_OUTS_B13_0.BRAM_FIFO18_DOADO1always
BRAM_R.BRAM_LOGIC_OUTS_B13_0.BRAM_FIFO36_DOADOL1always
BRAM_R.BRAM_LOGIC_OUTS_B13_1.BRAM_FIFO18_DOADO4always
BRAM_R.BRAM_LOGIC_OUTS_B13_1.BRAM_FIFO36_DOADOL4always
BRAM_R.BRAM_LOGIC_OUTS_B13_2.BRAM_FIFO36_ECCPARITY2always
BRAM_R.BRAM_LOGIC_OUTS_B13_3.BRAM_FIFO36_DOADOU2always
BRAM_R.BRAM_LOGIC_OUTS_B13_3.BRAM_RAMB18_DOADO2always
BRAM_R.BRAM_LOGIC_OUTS_B13_4.BRAM_FIFO36_DOADOU5always
BRAM_R.BRAM_LOGIC_OUTS_B13_4.BRAM_RAMB18_DOADO5always
BRAM_R.BRAM_LOGIC_OUTS_B14_0.BRAM_FIFO18_WRCOUNT1always
BRAM_R.BRAM_LOGIC_OUTS_B14_0.BRAM_FIFO36_WRCOUNT1always
BRAM_R.BRAM_LOGIC_OUTS_B14_1.BRAM_FIFO18_WRCOUNT4always
BRAM_R.BRAM_LOGIC_OUTS_B14_1.BRAM_FIFO36_WRCOUNT4always
BRAM_R.BRAM_LOGIC_OUTS_B14_2.BRAM_FIFO18_RDERRalways
BRAM_R.BRAM_LOGIC_OUTS_B14_2.BRAM_FIFO36_RDERRalways
BRAM_R.BRAM_LOGIC_OUTS_B14_3.BRAM_FIFO18_RDCOUNT7always
BRAM_R.BRAM_LOGIC_OUTS_B14_3.BRAM_FIFO36_RDCOUNT7always
BRAM_R.BRAM_LOGIC_OUTS_B14_4.BRAM_FIFO18_RDCOUNT11always
BRAM_R.BRAM_LOGIC_OUTS_B14_4.BRAM_FIFO36_RDCOUNT11always
BRAM_R.BRAM_LOGIC_OUTS_B15_0.BRAM_FIFO18_DOADO3always
BRAM_R.BRAM_LOGIC_OUTS_B15_0.BRAM_FIFO36_DOADOL3always
BRAM_R.BRAM_LOGIC_OUTS_B15_1.BRAM_FIFO18_DOADO6always
BRAM_R.BRAM_LOGIC_OUTS_B15_1.BRAM_FIFO36_DOADOL6always
BRAM_R.BRAM_LOGIC_OUTS_B15_2.BRAM_FIFO36_DOADOU0always
BRAM_R.BRAM_LOGIC_OUTS_B15_2.BRAM_RAMB18_DOADO0always
BRAM_R.BRAM_LOGIC_OUTS_B15_3.BRAM_FIFO36_DOPADOPU0always
BRAM_R.BRAM_LOGIC_OUTS_B15_3.BRAM_RAMB18_DOPADOP0always
BRAM_R.BRAM_LOGIC_OUTS_B15_4.BRAM_FIFO36_DOADOU7always
BRAM_R.BRAM_LOGIC_OUTS_B15_4.BRAM_RAMB18_DOADO7always
BRAM_R.BRAM_LOGIC_OUTS_B16_0.BRAM_FIFO18_WRCOUNT0always
BRAM_R.BRAM_LOGIC_OUTS_B16_0.BRAM_FIFO36_WRCOUNT0always
BRAM_R.BRAM_LOGIC_OUTS_B16_1.BRAM_FIFO18_WRCOUNT3always
BRAM_R.BRAM_LOGIC_OUTS_B16_1.BRAM_FIFO36_WRCOUNT3always
BRAM_R.BRAM_LOGIC_OUTS_B16_2.BRAM_FIFO36_DBITERRalways
BRAM_R.BRAM_LOGIC_OUTS_B16_3.BRAM_FIFO18_RDCOUNT6always
BRAM_R.BRAM_LOGIC_OUTS_B16_3.BRAM_FIFO36_RDCOUNT6always
BRAM_R.BRAM_LOGIC_OUTS_B16_4.BRAM_FIFO18_RDCOUNT10always
BRAM_R.BRAM_LOGIC_OUTS_B16_4.BRAM_FIFO36_RDCOUNT10always
BRAM_R.BRAM_LOGIC_OUTS_B17_0.BRAM_FIFO18_DOBDO11always
BRAM_R.BRAM_LOGIC_OUTS_B17_0.BRAM_FIFO36_DOBDOL11always
BRAM_R.BRAM_LOGIC_OUTS_B17_1.BRAM_FIFO18_DOBDO14always
BRAM_R.BRAM_LOGIC_OUTS_B17_1.BRAM_FIFO36_DOBDOL14always
BRAM_R.BRAM_LOGIC_OUTS_B17_2.BRAM_FIFO36_DOBDOU8always
BRAM_R.BRAM_LOGIC_OUTS_B17_2.BRAM_RAMB18_DOBDO8always
BRAM_R.BRAM_LOGIC_OUTS_B17_3.BRAM_FIFO36_DOPBDOPU1always
BRAM_R.BRAM_LOGIC_OUTS_B17_3.BRAM_RAMB18_DOPBDOP1always
BRAM_R.BRAM_LOGIC_OUTS_B17_4.BRAM_FIFO36_DOBDOU15always
BRAM_R.BRAM_LOGIC_OUTS_B17_4.BRAM_RAMB18_DOBDO15always
BRAM_R.BRAM_LOGIC_OUTS_B18_0.BRAM_FIFO36_TSTOUT1always
BRAM_R.BRAM_LOGIC_OUTS_B18_1.BRAM_FIFO36_TSTOUT0always
BRAM_R.BRAM_LOGIC_OUTS_B18_2.BRAM_FIFO18_WRCOUNT6always
BRAM_R.BRAM_LOGIC_OUTS_B18_2.BRAM_FIFO36_WRCOUNT6always
BRAM_R.BRAM_LOGIC_OUTS_B18_3.BRAM_FIFO36_ECCPARITY0always
BRAM_R.BRAM_LOGIC_OUTS_B18_4.BRAM_FIFO18_WRCOUNT10always
BRAM_R.BRAM_LOGIC_OUTS_B18_4.BRAM_FIFO36_WRCOUNT10always
BRAM_R.BRAM_LOGIC_OUTS_B19_0.BRAM_FIFO18_DOBDO9always
BRAM_R.BRAM_LOGIC_OUTS_B19_0.BRAM_FIFO36_DOBDOL9always
BRAM_R.BRAM_LOGIC_OUTS_B19_1.BRAM_FIFO18_DOBDO12always
BRAM_R.BRAM_LOGIC_OUTS_B19_1.BRAM_FIFO36_DOBDOL12always
BRAM_R.BRAM_LOGIC_OUTS_B19_2.BRAM_FIFO36_ECCPARITY3always
BRAM_R.BRAM_LOGIC_OUTS_B19_3.BRAM_FIFO36_DOBDOU10always
BRAM_R.BRAM_LOGIC_OUTS_B19_3.BRAM_RAMB18_DOBDO10always
BRAM_R.BRAM_LOGIC_OUTS_B19_4.BRAM_FIFO36_DOBDOU13always
BRAM_R.BRAM_LOGIC_OUTS_B19_4.BRAM_RAMB18_DOBDO13always
BRAM_R.BRAM_LOGIC_OUTS_B1_0.BRAM_FIFO18_DOBDO1always
BRAM_R.BRAM_LOGIC_OUTS_B1_0.BRAM_FIFO36_DOBDOL1always
BRAM_R.BRAM_LOGIC_OUTS_B1_1.BRAM_FIFO18_DOBDO4always
BRAM_R.BRAM_LOGIC_OUTS_B1_1.BRAM_FIFO36_DOBDOL4always
BRAM_R.BRAM_LOGIC_OUTS_B1_2.BRAM_FIFO18_ALMOSTFULLalways
BRAM_R.BRAM_LOGIC_OUTS_B1_2.BRAM_FIFO36_ALMOSTFULLalways
BRAM_R.BRAM_LOGIC_OUTS_B1_3.BRAM_FIFO36_DOBDOU2always
BRAM_R.BRAM_LOGIC_OUTS_B1_3.BRAM_RAMB18_DOBDO2always
BRAM_R.BRAM_LOGIC_OUTS_B1_4.BRAM_FIFO36_DOBDOU5always
BRAM_R.BRAM_LOGIC_OUTS_B1_4.BRAM_RAMB18_DOBDO5always
BRAM_R.BRAM_LOGIC_OUTS_B20_0.BRAM_FIFO18_DOBDO10always
BRAM_R.BRAM_LOGIC_OUTS_B20_0.BRAM_FIFO36_DOBDOL10always
BRAM_R.BRAM_LOGIC_OUTS_B20_1.BRAM_FIFO18_DOBDO13always
BRAM_R.BRAM_LOGIC_OUTS_B20_1.BRAM_FIFO36_DOBDOL13always
BRAM_R.BRAM_LOGIC_OUTS_B20_2.BRAM_FIFO36_ECCPARITY5always
BRAM_R.BRAM_LOGIC_OUTS_B20_3.BRAM_FIFO36_DOBDOU11always
BRAM_R.BRAM_LOGIC_OUTS_B20_3.BRAM_RAMB18_DOBDO11always
BRAM_R.BRAM_LOGIC_OUTS_B20_4.BRAM_FIFO36_DOBDOU14always
BRAM_R.BRAM_LOGIC_OUTS_B20_4.BRAM_RAMB18_DOBDO14always
BRAM_R.BRAM_LOGIC_OUTS_B21_0.BRAM_FIFO18_WRCOUNT2always
BRAM_R.BRAM_LOGIC_OUTS_B21_0.BRAM_FIFO36_WRCOUNT2always
BRAM_R.BRAM_LOGIC_OUTS_B21_1.BRAM_FIFO18_WRCOUNT5always
BRAM_R.BRAM_LOGIC_OUTS_B21_1.BRAM_FIFO36_WRCOUNT5always
BRAM_R.BRAM_LOGIC_OUTS_B21_2.BRAM_FIFO18_RDCOUNT8always
BRAM_R.BRAM_LOGIC_OUTS_B21_2.BRAM_FIFO36_RDCOUNT8always
BRAM_R.BRAM_LOGIC_OUTS_B21_3.BRAM_FIFO36_ECCPARITY6always
BRAM_R.BRAM_LOGIC_OUTS_B21_4.BRAM_FIFO36_RDCOUNT12always
BRAM_R.BRAM_LOGIC_OUTS_B22_0.BRAM_FIFO18_DOBDO8always
BRAM_R.BRAM_LOGIC_OUTS_B22_0.BRAM_FIFO36_DOBDOL8always
BRAM_R.BRAM_LOGIC_OUTS_B22_1.BRAM_FIFO18_DOPBDOP1always
BRAM_R.BRAM_LOGIC_OUTS_B22_1.BRAM_FIFO36_DOPBDOPL1always
BRAM_R.BRAM_LOGIC_OUTS_B22_2.BRAM_FIFO18_DOBDO15always
BRAM_R.BRAM_LOGIC_OUTS_B22_2.BRAM_FIFO36_DOBDOL15always
BRAM_R.BRAM_LOGIC_OUTS_B22_3.BRAM_FIFO36_DOBDOU9always
BRAM_R.BRAM_LOGIC_OUTS_B22_3.BRAM_RAMB18_DOBDO9always
BRAM_R.BRAM_LOGIC_OUTS_B22_4.BRAM_FIFO36_DOBDOU12always
BRAM_R.BRAM_LOGIC_OUTS_B22_4.BRAM_RAMB18_DOBDO12always
BRAM_R.BRAM_LOGIC_OUTS_B23_0.BRAM_FIFO18_RDCOUNT1always
BRAM_R.BRAM_LOGIC_OUTS_B23_0.BRAM_FIFO36_RDCOUNT1always
BRAM_R.BRAM_LOGIC_OUTS_B23_1.BRAM_FIFO18_RDCOUNT4always
BRAM_R.BRAM_LOGIC_OUTS_B23_1.BRAM_FIFO36_RDCOUNT4always
BRAM_R.BRAM_LOGIC_OUTS_B23_2.BRAM_FIFO18_WRERRalways
BRAM_R.BRAM_LOGIC_OUTS_B23_2.BRAM_FIFO36_WRERRalways
BRAM_R.BRAM_LOGIC_OUTS_B23_3.BRAM_FIFO18_WRCOUNT8always
BRAM_R.BRAM_LOGIC_OUTS_B23_3.BRAM_FIFO36_WRCOUNT8always
BRAM_R.BRAM_LOGIC_OUTS_B23_4.BRAM_FIFO36_WRCOUNT12always
BRAM_R.BRAM_LOGIC_OUTS_B2_0.BRAM_FIFO18_DOADO10always
BRAM_R.BRAM_LOGIC_OUTS_B2_0.BRAM_FIFO36_DOADOL10always
BRAM_R.BRAM_LOGIC_OUTS_B2_1.BRAM_FIFO18_DOADO13always
BRAM_R.BRAM_LOGIC_OUTS_B2_1.BRAM_FIFO36_DOADOL13always
BRAM_R.BRAM_LOGIC_OUTS_B2_2.BRAM_FIFO18_ALMOSTEMPTYalways
BRAM_R.BRAM_LOGIC_OUTS_B2_2.BRAM_FIFO36_ALMOSTEMPTYalways
BRAM_R.BRAM_LOGIC_OUTS_B2_3.BRAM_FIFO36_DOADOU11always
BRAM_R.BRAM_LOGIC_OUTS_B2_3.BRAM_RAMB18_DOADO11always
BRAM_R.BRAM_LOGIC_OUTS_B2_4.BRAM_FIFO36_DOADOU14always
BRAM_R.BRAM_LOGIC_OUTS_B2_4.BRAM_RAMB18_DOADO14always
BRAM_R.BRAM_LOGIC_OUTS_B3_0.BRAM_FIFO18_DOBDO3always
BRAM_R.BRAM_LOGIC_OUTS_B3_0.BRAM_FIFO36_DOBDOL3always
BRAM_R.BRAM_LOGIC_OUTS_B3_1.BRAM_FIFO18_DOBDO6always
BRAM_R.BRAM_LOGIC_OUTS_B3_1.BRAM_FIFO36_DOBDOL6always
BRAM_R.BRAM_LOGIC_OUTS_B3_2.BRAM_FIFO36_DOBDOU0always
BRAM_R.BRAM_LOGIC_OUTS_B3_2.BRAM_RAMB18_DOBDO0always
BRAM_R.BRAM_LOGIC_OUTS_B3_3.BRAM_FIFO36_DOPBDOPU0always
BRAM_R.BRAM_LOGIC_OUTS_B3_3.BRAM_RAMB18_DOPBDOP0always
BRAM_R.BRAM_LOGIC_OUTS_B3_4.BRAM_FIFO36_DOBDOU7always
BRAM_R.BRAM_LOGIC_OUTS_B3_4.BRAM_RAMB18_DOBDO7always
BRAM_R.BRAM_LOGIC_OUTS_B4_0.BRAM_FIFO18_DOBDO0always
BRAM_R.BRAM_LOGIC_OUTS_B4_0.BRAM_FIFO36_DOBDOL0always
BRAM_R.BRAM_LOGIC_OUTS_B4_1.BRAM_FIFO18_DOPBDOP0always
BRAM_R.BRAM_LOGIC_OUTS_B4_1.BRAM_FIFO36_DOPBDOPL0always
BRAM_R.BRAM_LOGIC_OUTS_B4_2.BRAM_FIFO18_DOBDO7always
BRAM_R.BRAM_LOGIC_OUTS_B4_2.BRAM_FIFO36_DOBDOL7always
BRAM_R.BRAM_LOGIC_OUTS_B4_3.BRAM_FIFO36_DOBDOU1always
BRAM_R.BRAM_LOGIC_OUTS_B4_3.BRAM_RAMB18_DOBDO1always
BRAM_R.BRAM_LOGIC_OUTS_B4_4.BRAM_FIFO36_DOBDOU4always
BRAM_R.BRAM_LOGIC_OUTS_B4_4.BRAM_RAMB18_DOBDO4always
BRAM_R.BRAM_LOGIC_OUTS_B5_0.BRAM_FIFO18_DOADO9always
BRAM_R.BRAM_LOGIC_OUTS_B5_0.BRAM_FIFO36_DOADOL9always
BRAM_R.BRAM_LOGIC_OUTS_B5_1.BRAM_FIFO18_DOADO12always
BRAM_R.BRAM_LOGIC_OUTS_B5_1.BRAM_FIFO36_DOADOL12always
BRAM_R.BRAM_LOGIC_OUTS_B5_2.BRAM_FIFO18_FULLalways
BRAM_R.BRAM_LOGIC_OUTS_B5_2.BRAM_FIFO36_FULLalways
BRAM_R.BRAM_LOGIC_OUTS_B5_3.BRAM_FIFO36_DOADOU10always
BRAM_R.BRAM_LOGIC_OUTS_B5_3.BRAM_RAMB18_DOADO10always
BRAM_R.BRAM_LOGIC_OUTS_B5_4.BRAM_FIFO36_DOADOU13always
BRAM_R.BRAM_LOGIC_OUTS_B5_4.BRAM_RAMB18_DOADO13always
BRAM_R.BRAM_LOGIC_OUTS_B6_0.BRAM_FIFO18_DOBDO2always
BRAM_R.BRAM_LOGIC_OUTS_B6_0.BRAM_FIFO36_DOBDOL2always
BRAM_R.BRAM_LOGIC_OUTS_B6_1.BRAM_FIFO18_DOBDO5always
BRAM_R.BRAM_LOGIC_OUTS_B6_1.BRAM_FIFO36_DOBDOL5always
BRAM_R.BRAM_LOGIC_OUTS_B6_2.BRAM_FIFO18_EMPTYalways
BRAM_R.BRAM_LOGIC_OUTS_B6_2.BRAM_FIFO36_EMPTYalways
BRAM_R.BRAM_LOGIC_OUTS_B6_3.BRAM_FIFO36_DOBDOU3always
BRAM_R.BRAM_LOGIC_OUTS_B6_3.BRAM_RAMB18_DOBDO3always
BRAM_R.BRAM_LOGIC_OUTS_B6_4.BRAM_FIFO36_DOBDOU6always
BRAM_R.BRAM_LOGIC_OUTS_B6_4.BRAM_RAMB18_DOBDO6always
BRAM_R.BRAM_LOGIC_OUTS_B7_0.BRAM_FIFO18_DOADO11always
BRAM_R.BRAM_LOGIC_OUTS_B7_0.BRAM_FIFO36_DOADOL11always
BRAM_R.BRAM_LOGIC_OUTS_B7_1.BRAM_FIFO18_DOADO14always
BRAM_R.BRAM_LOGIC_OUTS_B7_1.BRAM_FIFO36_DOADOL14always
BRAM_R.BRAM_LOGIC_OUTS_B7_2.BRAM_FIFO36_DOADOU8always
BRAM_R.BRAM_LOGIC_OUTS_B7_2.BRAM_RAMB18_DOADO8always
BRAM_R.BRAM_LOGIC_OUTS_B7_3.BRAM_FIFO36_DOPADOPU1always
BRAM_R.BRAM_LOGIC_OUTS_B7_3.BRAM_RAMB18_DOPADOP1always
BRAM_R.BRAM_LOGIC_OUTS_B7_4.BRAM_FIFO36_DOADOU15always
BRAM_R.BRAM_LOGIC_OUTS_B7_4.BRAM_RAMB18_DOADO15always
BRAM_R.BRAM_LOGIC_OUTS_B8_0.BRAM_FIFO18_DOADO0always
BRAM_R.BRAM_LOGIC_OUTS_B8_0.BRAM_FIFO36_DOADOL0always
BRAM_R.BRAM_LOGIC_OUTS_B8_1.BRAM_FIFO18_DOPADOP0always
BRAM_R.BRAM_LOGIC_OUTS_B8_1.BRAM_FIFO36_DOPADOPL0always
BRAM_R.BRAM_LOGIC_OUTS_B8_2.BRAM_FIFO18_DOADO7always
BRAM_R.BRAM_LOGIC_OUTS_B8_2.BRAM_FIFO36_DOADOL7always
BRAM_R.BRAM_LOGIC_OUTS_B8_3.BRAM_FIFO36_DOADOU1always
BRAM_R.BRAM_LOGIC_OUTS_B8_3.BRAM_RAMB18_DOADO1always
BRAM_R.BRAM_LOGIC_OUTS_B8_4.BRAM_FIFO36_DOADOU4always
BRAM_R.BRAM_LOGIC_OUTS_B8_4.BRAM_RAMB18_DOADO4always
BRAM_R.BRAM_LOGIC_OUTS_B9_0.BRAM_FIFO18_RDCOUNT2always
BRAM_R.BRAM_LOGIC_OUTS_B9_0.BRAM_FIFO36_RDCOUNT2always
BRAM_R.BRAM_LOGIC_OUTS_B9_1.BRAM_FIFO18_RDCOUNT5always
BRAM_R.BRAM_LOGIC_OUTS_B9_1.BRAM_FIFO36_RDCOUNT5always
BRAM_R.BRAM_LOGIC_OUTS_B9_2.BRAM_FIFO36_SBITERRalways
BRAM_R.BRAM_LOGIC_OUTS_B9_3.BRAM_FIFO18_WRCOUNT9always
BRAM_R.BRAM_LOGIC_OUTS_B9_3.BRAM_FIFO36_WRCOUNT9always
BRAM_R.BRAM_RAMB18_ADDRARDADDR0.BRAM_ADDRARDADDRU1always
BRAM_R.BRAM_RAMB18_ADDRARDADDR1.BRAM_ADDRARDADDRU2always
BRAM_R.BRAM_RAMB18_ADDRARDADDR10.BRAM_ADDRARDADDRU11always
BRAM_R.BRAM_RAMB18_ADDRARDADDR11.BRAM_ADDRARDADDRU12always
BRAM_R.BRAM_RAMB18_ADDRARDADDR12.BRAM_ADDRARDADDRU13always
BRAM_R.BRAM_RAMB18_ADDRARDADDR13.BRAM_ADDRARDADDRU14always
BRAM_R.BRAM_RAMB18_ADDRARDADDR2.BRAM_ADDRARDADDRU3always
BRAM_R.BRAM_RAMB18_ADDRARDADDR3.BRAM_ADDRARDADDRU4always
BRAM_R.BRAM_RAMB18_ADDRARDADDR4.BRAM_ADDRARDADDRU5always
BRAM_R.BRAM_RAMB18_ADDRARDADDR5.BRAM_ADDRARDADDRU6always
BRAM_R.BRAM_RAMB18_ADDRARDADDR6.BRAM_ADDRARDADDRU7always
BRAM_R.BRAM_RAMB18_ADDRARDADDR7.BRAM_ADDRARDADDRU8always
BRAM_R.BRAM_RAMB18_ADDRARDADDR8.BRAM_ADDRARDADDRU9always
BRAM_R.BRAM_RAMB18_ADDRARDADDR9.BRAM_ADDRARDADDRU10always
BRAM_R.BRAM_RAMB18_ADDRATIEHIGH0.BRAM_ADDRARDADDRU0always
BRAM_R.BRAM_RAMB18_ADDRATIEHIGH1.BRAM_IMUX_R_ADDRARDADDRL15always
BRAM_R.BRAM_RAMB18_ADDRBTIEHIGH0.BRAM_ADDRBWRADDRU0always
BRAM_R.BRAM_RAMB18_ADDRBTIEHIGH1.BRAM_IMUX_R_ADDRBWRADDRL15always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR0.BRAM_ADDRBWRADDRU1always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR1.BRAM_ADDRBWRADDRU2always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR10.BRAM_ADDRBWRADDRU11always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR11.BRAM_ADDRBWRADDRU12always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR12.BRAM_ADDRBWRADDRU13always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR13.BRAM_ADDRBWRADDRU14always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR2.BRAM_ADDRBWRADDRU3always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR3.BRAM_ADDRBWRADDRU4always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR4.BRAM_ADDRBWRADDRU5always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR5.BRAM_ADDRBWRADDRU6always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR6.BRAM_ADDRBWRADDRU7always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR7.BRAM_ADDRBWRADDRU8always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR8.BRAM_ADDRBWRADDRU9always
BRAM_R.BRAM_RAMB18_ADDRBWRADDR9.BRAM_ADDRBWRADDRU10always
BRAM_R.BRAM_RAMB18_CLKARDCLK.BRAM_CLK1_3always
BRAM_R.BRAM_RAMB18_CLKBWRCLK.BRAM_CLK1_1always
BRAM_R.BRAM_RAMB18_DIADI0.BRAM_IMUX8_1always
BRAM_R.BRAM_RAMB18_DIADI1.BRAM_IMUX40_3always
BRAM_R.BRAM_RAMB18_DIADI10.BRAM_IMUX43_3always
BRAM_R.BRAM_RAMB18_DIADI11.BRAM_IMUX45_3always
BRAM_R.BRAM_RAMB18_DIADI12.BRAM_IMUX9_4always
BRAM_R.BRAM_RAMB18_DIADI13.BRAM_IMUX11_4always
BRAM_R.BRAM_RAMB18_DIADI14.BRAM_IMUX13_4always
BRAM_R.BRAM_RAMB18_DIADI15.BRAM_IMUX15_4always
BRAM_R.BRAM_RAMB18_DIADI2.BRAM_IMUX42_3always
BRAM_R.BRAM_RAMB18_DIADI3.BRAM_IMUX44_3always
BRAM_R.BRAM_RAMB18_DIADI4.BRAM_IMUX8_4always
BRAM_R.BRAM_RAMB18_DIADI5.BRAM_IMUX10_4always
BRAM_R.BRAM_RAMB18_DIADI6.BRAM_IMUX12_4always
BRAM_R.BRAM_RAMB18_DIADI7.BRAM_IMUX14_4always
BRAM_R.BRAM_RAMB18_DIADI8.BRAM_IMUX15_2always
BRAM_R.BRAM_RAMB18_DIADI9.BRAM_IMUX41_3always
BRAM_R.BRAM_RAMB18_DIBDI0.BRAM_IMUX24_1always
BRAM_R.BRAM_RAMB18_DIBDI1.BRAM_IMUX1_3always
BRAM_R.BRAM_RAMB18_DIBDI10.BRAM_IMUX4_3always
BRAM_R.BRAM_RAMB18_DIBDI11.BRAM_IMUX6_3always
BRAM_R.BRAM_RAMB18_DIBDI12.BRAM_IMUX17_4always
BRAM_R.BRAM_RAMB18_DIBDI13.BRAM_IMUX19_4always
BRAM_R.BRAM_RAMB18_DIBDI14.BRAM_IMUX21_4always
BRAM_R.BRAM_RAMB18_DIBDI15.BRAM_IMUX23_4always
BRAM_R.BRAM_RAMB18_DIBDI2.BRAM_IMUX3_3always
BRAM_R.BRAM_RAMB18_DIBDI3.BRAM_IMUX5_3always
BRAM_R.BRAM_RAMB18_DIBDI4.BRAM_IMUX16_4always
BRAM_R.BRAM_RAMB18_DIBDI5.BRAM_IMUX18_4always
BRAM_R.BRAM_RAMB18_DIBDI6.BRAM_IMUX20_4always
BRAM_R.BRAM_RAMB18_DIBDI7.BRAM_IMUX22_4always
BRAM_R.BRAM_RAMB18_DIBDI8.BRAM_IMUX23_2always
BRAM_R.BRAM_RAMB18_DIBDI9.BRAM_IMUX2_3always
BRAM_R.BRAM_RAMB18_DIPADIP0.BRAM_IMUX42_2always
BRAM_R.BRAM_RAMB18_DIPADIP1.BRAM_IMUX15_3always
BRAM_R.BRAM_RAMB18_DIPBDIP0.BRAM_IMUX43_2always
BRAM_R.BRAM_RAMB18_DIPBDIP1.BRAM_IMUX23_3always
BRAM_R.BRAM_RAMB18_ENARDEN.BRAM_IMUX10_2always
BRAM_R.BRAM_RAMB18_ENBWREN.BRAM_IMUX26_2always
BRAM_R.BRAM_RAMB18_REGCEAREGCE.BRAM_IMUX11_2always
BRAM_R.BRAM_RAMB18_REGCEB.BRAM_IMUX27_2always
BRAM_R.BRAM_RAMB18_REGCLKARDRCLK.BRAM_CLK1_4always
BRAM_R.BRAM_RAMB18_REGCLKB.BRAM_CLK1_0always
BRAM_R.BRAM_RAMB18_RSTRAMARSTRAM.BRAM_CTRL1_3always
BRAM_R.BRAM_RAMB18_RSTRAMB.BRAM_CTRL1_1always
BRAM_R.BRAM_RAMB18_RSTREGARSTREG.BRAM_CTRL1_4always
BRAM_R.BRAM_RAMB18_RSTREGB.BRAM_CTRL1_0always
BRAM_R.BRAM_RAMB18_WEA0.BRAM_IMUX8_2always
BRAM_R.BRAM_RAMB18_WEA1.BRAM_IMUX24_2always
BRAM_R.BRAM_RAMB18_WEA2.BRAM_IMUX9_2always
BRAM_R.BRAM_RAMB18_WEA3.BRAM_IMUX25_2always
BRAM_R.BRAM_RAMB18_WEBWE0.BRAM_FAN5_2always
BRAM_R.BRAM_RAMB18_WEBWE1.BRAM_IMUX13_2always
BRAM_R.BRAM_RAMB18_WEBWE2.BRAM_IMUX29_2always
BRAM_R.BRAM_RAMB18_WEBWE3.BRAM_IMUX45_2always
BRAM_R.BRAM_RAMB18_WEBWE4.BRAM_FAN1_2always
BRAM_R.BRAM_RAMB18_WEBWE5.BRAM_IMUX14_2always
BRAM_R.BRAM_RAMB18_WEBWE6.BRAM_IMUX30_2always
BRAM_R.BRAM_RAMB18_WEBWE7.BRAM_IMUX46_2always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL0.BRAM_IMUX17_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL1.BRAM_IMUX18_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL10.BRAM_IMUX20_2always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL11.BRAM_IMUX22_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL12.BRAM_IMUX21_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL13.BRAM_IMUX23_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL14.BRAM_IMUX22_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL2.BRAM_IMUX19_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL3.BRAM_IMUX18_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL4.BRAM_IMUX21_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL5.BRAM_IMUX20_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL6.BRAM_IMUX16_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL7.BRAM_IMUX17_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL8.BRAM_IMUX20_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRL9.BRAM_IMUX19_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU0.BRAM_IMUX9_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU1.BRAM_IMUX10_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU10.BRAM_IMUX12_2always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU11.BRAM_IMUX14_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU12.BRAM_IMUX13_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU13.BRAM_IMUX15_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU14.BRAM_IMUX14_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU2.BRAM_IMUX11_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU3.BRAM_IMUX10_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU4.BRAM_IMUX13_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU5.BRAM_IMUX12_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU6.BRAM_IMUX8_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU7.BRAM_IMUX9_3always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU8.BRAM_IMUX12_1always
BRAM_R.BRAM_R_IMUX_ADDRARDADDRU9.BRAM_IMUX11_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL0.BRAM_IMUX33_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL1.BRAM_IMUX34_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL10.BRAM_IMUX36_2always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL11.BRAM_IMUX38_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL12.BRAM_IMUX37_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL13.BRAM_IMUX39_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL14.BRAM_IMUX38_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL2.BRAM_IMUX35_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL3.BRAM_IMUX34_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL4.BRAM_IMUX37_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL5.BRAM_IMUX36_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL6.BRAM_IMUX32_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL7.BRAM_IMUX33_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL8.BRAM_IMUX36_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRL9.BRAM_IMUX35_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU0.BRAM_IMUX25_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU1.BRAM_IMUX26_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU10.BRAM_IMUX28_2always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU11.BRAM_IMUX30_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU12.BRAM_IMUX29_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU13.BRAM_IMUX31_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU14.BRAM_IMUX30_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU2.BRAM_IMUX27_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU3.BRAM_IMUX26_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU4.BRAM_IMUX29_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU5.BRAM_IMUX28_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU6.BRAM_IMUX24_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU7.BRAM_IMUX25_3always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU8.BRAM_IMUX28_1always
BRAM_R.BRAM_R_IMUX_ADDRBWRADDRU9.BRAM_IMUX27_3always
BRAM_R.BRAM_UTURN_ADDRARDADDRL0.BRAM_ADDRARDADDRL0always
BRAM_R.BRAM_UTURN_ADDRARDADDRL1.BRAM_ADDRARDADDRL1always
BRAM_R.BRAM_UTURN_ADDRARDADDRL10.BRAM_ADDRARDADDRL10always
BRAM_R.BRAM_UTURN_ADDRARDADDRL11.BRAM_ADDRARDADDRL11always
BRAM_R.BRAM_UTURN_ADDRARDADDRL12.BRAM_ADDRARDADDRL12always
BRAM_R.BRAM_UTURN_ADDRARDADDRL13.BRAM_ADDRARDADDRL13always
BRAM_R.BRAM_UTURN_ADDRARDADDRL14.BRAM_ADDRARDADDRL14always
BRAM_R.BRAM_UTURN_ADDRARDADDRL15.BRAM_IMUX_R_ADDRARDADDRL15always
BRAM_R.BRAM_UTURN_ADDRARDADDRL2.BRAM_ADDRARDADDRL2always
BRAM_R.BRAM_UTURN_ADDRARDADDRL3.BRAM_ADDRARDADDRL3always
BRAM_R.BRAM_UTURN_ADDRARDADDRL4.BRAM_ADDRARDADDRL4always
BRAM_R.BRAM_UTURN_ADDRARDADDRL5.BRAM_ADDRARDADDRL5always
BRAM_R.BRAM_UTURN_ADDRARDADDRL6.BRAM_ADDRARDADDRL6always
BRAM_R.BRAM_UTURN_ADDRARDADDRL7.BRAM_ADDRARDADDRL7always
BRAM_R.BRAM_UTURN_ADDRARDADDRL8.BRAM_ADDRARDADDRL8always
BRAM_R.BRAM_UTURN_ADDRARDADDRL9.BRAM_ADDRARDADDRL9always
BRAM_R.BRAM_UTURN_ADDRARDADDRU0.BRAM_ADDRARDADDRU0always
BRAM_R.BRAM_UTURN_ADDRARDADDRU1.BRAM_ADDRARDADDRU1always
BRAM_R.BRAM_UTURN_ADDRARDADDRU10.BRAM_ADDRARDADDRU10always
BRAM_R.BRAM_UTURN_ADDRARDADDRU11.BRAM_ADDRARDADDRU11always
BRAM_R.BRAM_UTURN_ADDRARDADDRU12.BRAM_ADDRARDADDRU12always
BRAM_R.BRAM_UTURN_ADDRARDADDRU13.BRAM_ADDRARDADDRU13always
BRAM_R.BRAM_UTURN_ADDRARDADDRU14.BRAM_ADDRARDADDRU14always
BRAM_R.BRAM_UTURN_ADDRARDADDRU2.BRAM_ADDRARDADDRU2always
BRAM_R.BRAM_UTURN_ADDRARDADDRU3.BRAM_ADDRARDADDRU3always
BRAM_R.BRAM_UTURN_ADDRARDADDRU4.BRAM_ADDRARDADDRU4always
BRAM_R.BRAM_UTURN_ADDRARDADDRU5.BRAM_ADDRARDADDRU5always
BRAM_R.BRAM_UTURN_ADDRARDADDRU6.BRAM_ADDRARDADDRU6always
BRAM_R.BRAM_UTURN_ADDRARDADDRU7.BRAM_ADDRARDADDRU7always
BRAM_R.BRAM_UTURN_ADDRARDADDRU8.BRAM_ADDRARDADDRU8always
BRAM_R.BRAM_UTURN_ADDRARDADDRU9.BRAM_ADDRARDADDRU9always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL0.BRAM_ADDRBWRADDRL0always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL1.BRAM_ADDRBWRADDRL1always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL10.BRAM_ADDRBWRADDRL10always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL11.BRAM_ADDRBWRADDRL11always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL12.BRAM_ADDRBWRADDRL12always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL13.BRAM_ADDRBWRADDRL13always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL14.BRAM_ADDRBWRADDRL14always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL15.BRAM_IMUX_R_ADDRBWRADDRL15always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL2.BRAM_ADDRBWRADDRL2always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL3.BRAM_ADDRBWRADDRL3always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL4.BRAM_ADDRBWRADDRL4always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL5.BRAM_ADDRBWRADDRL5always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL6.BRAM_ADDRBWRADDRL6always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL7.BRAM_ADDRBWRADDRL7always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL8.BRAM_ADDRBWRADDRL8always
BRAM_R.BRAM_UTURN_ADDRBWRADDRL9.BRAM_ADDRBWRADDRL9always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU0.BRAM_ADDRBWRADDRU0always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU1.BRAM_ADDRBWRADDRU1always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU10.BRAM_ADDRBWRADDRU10always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU11.BRAM_ADDRBWRADDRU11always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU12.BRAM_ADDRBWRADDRU12always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU13.BRAM_ADDRBWRADDRU13always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU14.BRAM_ADDRBWRADDRU14always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU2.BRAM_ADDRBWRADDRU2always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU3.BRAM_ADDRBWRADDRU3always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU4.BRAM_ADDRBWRADDRU4always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU5.BRAM_ADDRBWRADDRU5always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU6.BRAM_ADDRBWRADDRU6always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU7.BRAM_ADDRBWRADDRU7always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU8.BRAM_ADDRBWRADDRU8always
BRAM_R.BRAM_UTURN_ADDRBWRADDRU9.BRAM_ADDRBWRADDRU9always